Home > Publication > International Paper > Conference
No.
Title
Publication
Down
Authors
2019
305
A 0.5V 9.26¥ìW 15.28m¥Ø/¡îHz Bio-Impedance Sensor IC with 0.55¡Æ Overall Phase Error

ISSCC 2019

  Kwantae Kim, Jihoon Kim, Surin Gweon, Jiwon Lee, Minseo Kim, Yongsu Lee, Soyeon Kim, and Hoi-Jun Yoo
304
A 7.0fps Optical and Electrical Dual Tomographic Imaging SoC for Skin-Disease Diagnosis System

ISSCC 2019

 Yongsu Lee, Kwantae Kim, Jiwon Lee, Kyoung-Rog Lee, Surin Gweon, Minseo Kim, and Hoi-Jun Yoo
303
A 5.37mW/Channel Pitch-Matched Ultrasound ASIC with Dynamic-Bit-Shared SAR ADC and 13.2V Charge-Recycling TX in Standard CMOS for Intracardiac Echocardiography

ISSCC 2019

 Jihee Lee, Kyoung-Rog Lee,Benjamin E. Eovino, Jeonghoan Park, Liwei Lin, Hoi-Jun Yoo, and Jerald Yoo
302
LNPU: A 25.3TFLOPS/W Sparse Deep-Neural-Network Learning Processor with Fine-Grained Mixed Precision of FP8-FP16

ISSCC 2019

 Jinsu Lee, Juhyoung Lee, Donghyeon Han, Jinmook Lee, Gwangtae Park, and Hoi-Jun Yoo
301
A 2.1TFLOPS/W Mobile Deep RL Accelerator with Transposable PE Array and Experience Compression

ISSCC 2019

 Changhyeon Kim, Sanghoon Kang, Donjoo Shin, Sungpill Choi, Youngwoo Kim, and Hoi-Jun Yoo
2018
300
A Capsule Endoscope System for Wide Visualization Field and Location Tracking

BIOCAS 2018

 Jaeeun Jang, Jihee Lee, Kyoung-Rog Lee, Jiwon Lee, Minseo Kim, Yongsu Lee, Joonsung Bae, and Hoi-Jun Yoo
299
An Energy-Efficient Unified Deep Neural Network Accelerator with Fully-Variable Weight Precision for Mobile Deep Learning Applications

HOTCHIPS 2018

 Jinmook Lee, Changhyeon Kim, Sanghoon Kang, Dongjoo Shin, Sangyeob Kim and Hoi-Jun Yoo
298
A 0.8V 82.9¥ìW In-ear BCI Controller System with 8.8 PEF EEG Instrumentational Amplifier and Wireless BAN Transceiver

SoVC 2018

 Jaehyuk Lee, Kyoung-Rog Lee, Unsoo Ha, Ji-Hoon Kim, Kwonjoon Lee, and Hoi-jun Yoo
297
B-Face: 0.2 mW CNN-Based Face Recognition Processor with Face Alignment for Mobile User Identification

SoVC 2018

 Sanghoon Kang, Jinmook Lee, Changhyeon Kim, and Hoi-jun Yoo
296
A 141.4 mW Low-Power Online Deep Neural Network Training Processor for Real-time Object Tracking in Mobile Devices

ISCAS 2018

 Donghyeon Han, Jinsu Lee, Jinmook Lee, Sungpill Choi, and Hoi-jun Yoo
295
A 46.1 fps Global Matching Optical Flow Estimation Processor for Action Recognition in Mobile Devices

ISCAS 2018

 Juhyoung Lee, Changhyeon Kim, Sungpill Choi, Dongjoo Shin, Sanghoon Kang, and Hoi-jun Yoo
294
A 0.78 mW Low-Power 4.02 High-Compression Ratio Less than 10−6 BER Error-Tolerant Lossless Image Compression Hardware for Wireless Capsule Endoscopy System

ISCAS 2018

 Jiwon Lee, Kyoung-Rog Lee, and Hoi-jun Yoo
293
A 9.02mW CNN-Stereo based Real-time 3D Hand Gesture Recognition Processor for Smart Mobile Devices

ISSCC 2018

 Sungpill Choi, Jinsu Lee, Kyuho Lee, Hoi-jun Yoo
292
UNPU: A 50.6TOPS/W Energy-Efficient Unified Deep Neural-Network Accelerator with 1-to-16b Fully Variable Bit Precision

ISSCC 2018

 Jinmook Lee, Changhyeon Kim, Sanghoon Kang, Dongjoo Shin, Sangyeob Kim, Hoi-Jun Yoo
291
4-Camera VGA Resolution Capsule Endoscope with 80Mb/s Body Channel Communication Transceiver and Sub-cm Range Capsule Localization

ISSCC 2018

 Jaeeun Jang, Jihee Lee, Kyoung-Rog Lee, Jiwon Lee, Minseo Kim, Yongsu Lee, Joonsung Bae, Hoi-Jun Yoo
2017
290
A Multimodal Headpatch System for Patient Brain Monitoring in OR and PACU

BioCAS 2017

 Unsoo Ha, Hoi-Jun Yoo and Joonsung Bae
289
Wearable 3D Lung Ventilation Monitoring System with Multi Frequency Electrical Impedance Tomography

BioCAS 2017

 Minseo Kim, Joonsung Bae, and Hoi-Jun Yoo
288
A 1GHz fault tolerant processor with dynamic lockstep and self-recovering cache for ADAS SoC complying with ISO26262 in automotive electronics

ASSCC 2017

 Jinho Han, Youngsu Kwon, Yong Cheol Peter Cho, and Hoi-Jun Yoo
287
A 21mW Low-power Recurrent Neural Network Accelerator with Quantization Tables for Embedded Deep Learning Applications

ASSCC 2017

 Jinmook Lee, Dongjoo Shin, and Hoi-Jun Yoo
286
A 2.79-mW 0.5%-THD CMOS Current Driver IC for Portable Electrical Impedance Tomography System

ASSCC 2017

 Jaeeun Jang, Minseo Kim, Joonsung Bae, and Hoi-Jun Yoo
285
A 1.41mW On-chip/Off-chip Hybrid Transposition Table for Low-power Robust Deep Tree Search in Artifiicial Intelligence SoCs

SOCC 2017

 Dongjoo Shin, Youchang Kim, and Hoi-Jun Yoo
284
A 590MDE/s Semi-Global Matching Processor with Lossless Data Compression

SOCC 2017

 Kyeongryeol Bong, Kyuho Lee, and Hoi-Jun Yoo
283
A 24 ¥ìW 38.51 m¥Ørms Resolution Bio-Impedance Sensor with Dual Path Instrumentation Amplifier

ESSCIRC 2017

 Kwantae Kim, Kiseok Song, Kyeongryeol Bong, Jaehyuk Lee, Kwonjoon Lee, Yongsu Lee, Unsoo Ha, and Hoi-Jun Yoo
282
An Ultra-Low-Power and Mixed-Mode Event-Driven Face Detection SoC for Always-on Mobile Applications

ESSCIRC 2017

 Changhyeon Kim, Kyeongryeol Bong, Injoon Hong, Kyuho Lee, Sungpill Choi, and Hoi-Jun Yoo
281
A Real-Time and Energy-Efficient Embedded System for Intelligent ADAS with RNN-Based Deep Risk Prediction using Stereo Camera

ICVS 2017

 Kyuho Lee, Gyeongmin Choe, Kyeongryeol Bong, Changhyeon Kim, In So Kweon, and Hoi-Jun Yoo
280
DNPU: An Energy-Efficient Deep Neural Network Processor with On-Chip Stereo Matching

HOTCHIPS 2017

 Dongjoo Shin and Hoi-Jun Yoo
279
A 274¥ìW Clock Synchronized Wireless Body Area Network IC with Super-Regenerative RSSI for Biomedical Ad-Hoc Network System

EMBC 2017

 Yongsu Lee and Hoi-Jun Yoo
278
An Adaptive DC-Balanced and Multi-Mode Stimulator IC with 1G¥Ø Output Impedance for Compact Electro-Acupuncture System

EMBC 2017

 Jiwon Lee, Minseo Kim, Kwantae Kim, Kiseok Song, Sanghoon Lee, Weon Kim, Jong Shin Woo, and Hoi-Jun Yoo
277
An Energy-Efficient Deep Learning Processor with Heterogeneous Multi-Core Architecture for Convolutional Neural Networks and Recurrent Neural Networks

COOL Chips 2017

 Dongjoo Shin, Jinmook Lee, Jinsu Lee, Juhyoung Lee, Hoi-Jun Yoo
276
A 31.2pJ/disparity/pixel Stereo Matching Processor with Stereo SRAM for Mobile UI Application

SoVC 2017

 Jinsu Lee, Dongjoo Shin, Kyuho Lee and Hoi-Jun Yoo
275
A 0.53mW Ultra-Low-Power 3D Face Frontalization Processor for Face Recognition with Human-Level Accuracy in Wearable Devices

ISCAS 2017

 Sanghoon Kang, Jinmook Lee, Kyeongryeol Bong, Changhyeon Kim, and Hoi-Jun Yoo
274
DNPU: An 8.1 TOPS/W Reconfigurable CNN-RNN Processor for General-Purpose Deep Neural Networks

ISSCC 2017

 Dongjoo Shin, Jinmook Lee, Jinsu Lee, and Hoi-Jun Yoo
273
A 0.62mW Ultra-low-power Convolutional Neural Network Face Recognition Processor and a CIS Integrated with Always-on Haar-like Face Detector

ISSCC 2017

 Kyeongryeol Bong, Sungpill Choi, Changhyeon Kim, Sanghoon Kang, Youchang Kim, Hoi-Jun Yoo
272
A 1.4 m¥Ø Sensitivity 94-dB Dynamic Range Electrical Impedance Tomography SoC and 48-Channel Hub SoC for 3D Lung Ventilation Monitoring System

ISSCC 2017

 Minseo Kim, Hyunki Kim, Jaeeun Jang, Jihee Lee, Jaehyuk Lee, Jiwon Lee, Kyoungrog Lee, Kwantae Kim, Yongsu Lee, and Hoi-Jun Yoo
271
A 25.2mW EEG-NIRS Multimodal SoC for Accurate Anesthesia Depth Monitoring

ISSCC 2017

 Unsoo Ha, Jaehyuk Lee, Jihee Lee, Kwantae Kim, Minseo Kim, Taehwan Roh, Sangsik Choi, and Hoi-Jun Yoo
2016
270
A 34pJ/level¡¤pixel Depth-estimation Processor with Shifter-based Pipelined Architecture for Mobile User Interface

A-SSCC 2016

 Sungpill Choi, Seongwook Park, and Hoi-Jun Yoo
269
An EEG-NIRS Ear-Module SoC for Wearable Drowsiness Monitoring System

A-SSCC 2016

 Unsoo Ha and Hoi-Jun Yoo
268
A Multimodal Drowsiness Monitoring Ear-Module System with Closed-Loop Real-Time Alarm

BioCAS 2016

 Unsoo Ha and Hoi-Jun Yoo
267
Low-Power Real-Time Intelligent SoCs for Smart Machines

SOCC 2016

 Youchang Kim, Injoon Hong, Seongwook Park, and Hoi-Jun Yoo
266
An Intelligent ADAS Processor with Real-Time Semi-Global Matching and Intention Prediction for 720p Stereo Vision

HOTCHIPS 2016

 Kyuho Lee, Kyeongryeol Bong, Changhyeon Kim, and Hoi-Jun Yoo
265
An 8.3mW 1.6Msamples/s Multi-modal Event-driven Speech Enhancement Processor for Robust Speech Recognition in Smart Glasses

ESSCIRC 2016

 Jinmook Lee, Seongwook Park, Injoon Hong, and Hoi-Jun Yoo
264
A 82nW Chaotic-Map True Random Number Generator Based on Sub-Ranging SAR ADC

ESSCIRC 2016

 Minseo Kim, Unsoo Ha, Yongsu Lee, Kyuho Lee and Hoi-Jun Yoo
263
A Fabric Wrist Patch Sensor for Continuous and Comprehensive Monitoring of the Cardiovascular System

EMBC 2016

 Kwonjoon Lee, Kiseok Song, Taehwan Roh and Hoi-jun Yoo
262
Sticker-type ECG/PPG Concurrent Monitoring System Hybrid Integration of CMOS SoC and Organic Sensor Device

EMBC 2016

 Yongsu Lee, Hyeonwoo Lee, Seunghyup Yoo, and Hoi-jun Yoo
261
A 1.1mW 32-thread Artificial Intelligence Processor with 3-level Transposition Table and On-chip PVT Compensation for Autonomous Mobile Robots

COOLCHIPS 2016

 Youchang Kim, Dongjoo Shin, Jinsu Lee, and Hoi-Jun Yoo
260
An Energy-Efficient Parallel Multi-Core ADAS Processor with Robust Visual Attention and Workload-Prediction DVFS for Real-Time HD Stereo Stream

COOLCHIPS 2016

 Kyuho Lee, Kyeongryeol Bong, Changyeon Kim, Junyoung Park and Hoi-Jun Yoo
259
A Fault Tolerant Cache of Automotive Vision Processor Complying with ISO26262

ISCAS 2016

 Jinho han, Youngsu Kwon, Kyeonjin Byun, and Hoi-jun Yoo
258
A 48 μW, 8.88×10-3 W/W Batteryless Energy Harvesting BCC Identification System

ISCAS 2016

 Jihee Lee, Yongsu Lee, Hyunwoo Cho, and Hoi-Jun Yoo
257
30-fps SNR Equalized Electrical Impedance Tomography IC with Fast-Settle Filter and Adaptive Current Control for Lung Monitoring

ISCAS 2016

 Jaehyuk Lee, Unsoo Ha, and Hoi-Jun Yoo
256
A 43.7 mW 94 fps CMOS Image Sensor-based Stereo Match-ing Accelerator with Focal-plane Rectification and Analog Census Transformation

ISCAS 2016

 Changhyeon Kim, Kyeongryeol Bong, Sungpill Choi, and Hoi-Jun Yoo
255
A 17.5 fJ/bit Energy-efficient Analog SRAM for Mixed-signal Processing

ISCAS 2016

 Jinsu Lee, Dongjoo Shin, Youchang Kim, and Hoi-Jun Yoo
254
A 54-¥ìW fast-settling arterial pulse wave sensor for wrist watch type system

ISCAS 2016

 Kwantae Kim, Minseo Kim, Hyunwoo Cho, Kwonjoon Lee, Seung-Tak Ryu, and Hoi-Jun Yoo
253
A 0.55V 1.1mW Artificial-Intelligence Processor with PVT Compensation for Micro Robots

ISSCC 2016

 Youchang Kim, Dongjoo Shin, Jinsu Lee, Yongsu Lee, and Hoi-Jun Yoo
252
A 502GOPS and 0.984mW Dual-Mode ADAS SoC with RNN-FIS Engine for Intention Prediction in Automotive Black-Box System

ISSCC 2016

 Kyuho Lee, Kyeongryeol Bong, Changhyeon Kim, Jaeeun Jang, Hyunki Kim, Jihee Lee, Kyoungrog Lee, Gyeonghoon Kim, and Hoi-Jun Yoo
251
A 126.1mW Real-Time Natural UI/UX Processor with Embedded Deep-Learning Core for Low-Power Smart Glasses

ISSCC 2016

 Seongwook Park, Sungpill Choi, Jinmook Lee, Minseo Kim, Junyoung Park, and Hoi-Jun Yoo
250
A 141μW Sensor SoC on OLED/OPD Substrate for SpO2/ExG Monitoring Sticker

ISSCC 2016

 Yongsu Lee, Hyunwoo Lee, Jaeeun Jang, Jihee Lee, Minseo Kim, Jaehyuk Lee, Hyunki Kim, Kyoungrog Lee, Kwantae Kim, Hyunwoo Cho, Seunghyup Yoo, and Hoi-Jun Yoo
2015
249
A 1.9nJ/Pixel Deep Neural Network Processor for High Speed Visual Attention in a Mobile Vision Recognition SoC

A-SSCC 2015

 Injoon Hong, Seongwook Park, Junyoung Park, and Hoi-Jun Yoo
248
A 95% Accurate EEG-connectome Processor for a Mental Health Monitoring System

A-SSCC 2015

 Hyunki Kim, Kiseok Song, Taehwan Roh, and Hoi-Jun Yoo
247
A 79pJ/b 80Mb/s Full Duplexer Transceiver and a 42.5μW 100kb/s Super-regenerative Transceiver for Body Channel Communication

IMWS-Bio 2015

 Hyunwoo Cho, Hyunki Kim, Minseo Kim, Jaeeun Jang, and Hoi-Jun Yoo
246
Intelligent Task Scheduler with High Throughput NoC for Real-Time Mobile Object Recognition SoC

ESSCIRC 2015

 Kyuho Lee, Junyoung Park, Injoon Hong, and Hoi-Jun Yoo
245
Wearable Lung-health Monitoring System with Electrical Impedance Tomography

EMBC 2015

 Sunjoo Hong, Jaehyuk Lee, and Hoi-Jun Yoo
244
A Multimodal Stress Monitoring System with Canonical Correlation Analysis

EMBC 2015

 Unsoo Ha, Changhyeon Kim, Yongsu Lee, Hyunki Kim, Taehwan Roh, and Hoi-Jun Yoo
243
A Low-power and Real-time Augmented Reality Processor for the Next Generation Smart Glasses

HOTCHIPS 2015

 Gyeonghoon Kim, and Hoi-Jun Yoo
242
A 0.5-degree Error 10mW CMOS Image Sensor-based Gaze Estimation Processor with Logarithmic Processing

SOVC 2015

 Kyeongryeol Bong, Injoon Hong, Gyeonghoon Kim, and Hoi-Jun Yoo
241
A 4.84mW 30fps Dual Frequency Division Multiplexing Electrical Impedance Tomography SoC for Lung Ventilation Monitoring System

SOVC 2015

 Yongsu Lee, Kiseok Song, and Hoi-Jun Yoo
240
A 33 nJ/vector Descriptor Generation Processor for Low-power Object Recognition

SOVC 2015

 Dongjoo Shin, Injoon Hong, Gyeonghoon Kim, and Hoi-Jun Yoo
239
A Keypoint-level Parallel Pipelined Object Recognition Processor with Gaze Activation Image Sensor for Mobile Smart Glasses System

COOLCHIPS 2015

 Injoon Hong, Dongjoo Shin, Youchang Kim, Kyeongryeol Bong, Seongwook Park, Kyuho Lee, and Hoi-Jun Yoo
238
A 3.13nJ/sample Energy-efficient Speech Extraction Processor for Robust Speech Recognition in Mobile Head-mounted Display Systems

ISCAS 2015

 Jinmook Lee, Seongwook Park, Injoon Hong, and Hoi-Jun Yoo
237
A 124.9fps Memory-Efficient Hand Segmentation Processor for Hand Gesture in Mobile Devices

ISCAS 2015

 Sungpill Choi, Seongwook Park, Gyeonghoon Kim, and Hoi-Jun Yoo
236
A 24-mW 28-Gb/s Wireline Receiver with Low-frequency Equalizing CTLE and 2-tap Speculative DFE

ISCAS 2015

 Minseo Kim, Joonsung Bae, Unsoo Ha, and Hoi-Jun Yoo
235
A 0.54mW Duty Controlled RSSI with Current Reusing Technique for Human Body Communication

ISCAS 2015

 Jaeeun Jang, Yongsu Lee, Hyunwoo Cho, and Hoi-Jun Yoo
234
K-Glass: Real-time markerless augmented reality smart glasses platform

ICIT 2015

 Gyeonghoon Kim, Sungpil Choi, Hoi-Jun Yoo
233
A 0.5V 54μW Ultra-Low-Power Recognition Processor with 93.5% Accuracy Geometric Vocabulary Tree and 47.5% Database Compression

ISSCC 2015

 Youchang Kim, Injoon Hong, and Hoi-Jun Yoo
232
A 1.93 TOPS/W Scalable Deep Learning/Inference Processor with Tetra-parallel MIMD Architecture for Big Data Applications

ISSCC 2015

 Seongwook Park, Kyeongryeol Bong, Dongjoo Shin, Jinmook Lee, Sungpill Choi, and Hoi-Jun Yoo
231
A Wearable EEG-HEG-HRV Multimodal System with Real-time Transcranial Electrical Stimulation Monitoring for Mental Health Management

ISSCC 2015

 Unsoo Ha, Yongsu Lee, Hyunki Kim, Taehwan Roh, Joonsung Bae, Changhyeon Kim, and Hoi-Jun Yoo
230
A 2.71nJ/Pixel 3D-Stacked Gaze-Activated Object Recognition System for Low-power Mobile HMD Applications

ISSCC 2015

 Injoon Hong, Kyeongryeol Bong, Dongjoo Shin, Seongwook Park, Kyuho Lee, Youchang Kim, and Hoi-Jun Yoo
229
A 79pJ/b 80MB/s Full Deplexer Transceiver and a 42.5μW 100kb/s Super-regenerative Transceiver for Body Channel Communication

ISSCC 2015

 Hyunwoo Cho, Hyunki Kim, Minseo Kim, Jaeeun Jang, Joonsung Bae, and Hoi-Jun Yoo
2014
228
A 4.9mW Neural Network Task Scheduler for Congestion-Minimized Network-on-Chip in Multi-Core Systems

A-SSCC 2014

 Youchang Kim, Gyeonghoon Kim, Injoon Hong, Donghyun Kim, and Hoi-Jun Yoo
227
A 27mW Reconfigurable Marker-Less Logarithmic Camera Pose Estimation Engine for Mobile Augmented Reality Processor

A-SSCC 2014

 Injoon Hong, Gyeonghoon Kim, Youchang Kim, Donghyun Kim, Byeong-Gyu Nam, and Hoi-Jun Yoo
226
A 5.2mW IEEE 802.15.6 HBC Standard Compatible Transceiver with Power Efficient Delay-Locked-Loop Based BPSK Demodulator

A-SSCC 2014

 Hyunwoo Cho, Hyungwoo Lee, Joonsung Bae, and Hoi-Jun Yoo
225
A 10.4mW Electrical Impedance Tomography SoC for Portable Real-time Lung Ventilation Monitoring System

A-SSCC 2014

 Sunjoo Hong, Jaehyuk Lee, Joonsung Bae and Hoi-Jun Yoo
224
A 1.5nJ/pixel Super-Resolution Enhanced FAST Corner Detection Processor for High Accuracy AR

ESSCIRC 2014

 Seongwook Park, Gyeonghoon Kim, Junyoung Park, and Hoi-Jun Yoo
223
Energy-efficient Mixed-mode Support Vector Machine Processor with Analog Gaussian Kernel

CICC 2014

 Kyeongryeol Bong, Gyeonghoon Kim and Hoi-Jun Yoo
222
A 33μW/node Duty Cycle Controlled HBC Transceiver System for Medical BAN with 64 Sensor Nodes

CICC 2014

 Hyungwoo Lee, Hyunwoo Cho and Hoi-Jun Yoo
221
Wearable Depression Monitoring System with Heart-rate Variability

EMBC 2014

 Taehwan Roh, Sunjoo Hong, and Hoi-Jun Yoo
220
A Vocabulary Forest-based Object Matching Processor with 2.07M-vec/s Throughput and 13.3nJ/vector Energy in Full-HD Resolution

SOVC 2014

 Kyuho Lee, Gyeonghoon Kim, Junyoung Park and Hoi-Jun Yoo
219
An Impedance and Multi-wavelength Near-infrared Spectroscopy IC for Non-invasive Blood Glucose Estimation

SOVC 2014

 Kiseok Song, Unsoo Ha, Seongwook Park, and Hoi-Jun Yoo
218
3.8mW Electrocardiogram (ECG) Filtered Electrical Impedance Tomography IC using I/Q Homodyne Architecture for Breast Cancer Diagnosis

ISCAS 2014

 Yongsu Lee, Unsoo Ha, Kiseok Song, and Hoi-jun Yoo
217
An 1.92mW Feature Reuse Engine based on Inter-frame Similarity for Low-power Object Recognition in Video Frames

ISCAS 2014

 Dongjoo Shin, Injoon Hong, and Hoi-Jun Yoo
216
An 1.61mW Mixed-Signal Column Processor for Brisk Feature Extraction in CMOS Image Sensor

ISCAS 2014

 Kyeongryeol Bong, Gyeonghoon Kim, Injoon Hong, and Hoi-jun Yoo
215
A Task-level Pipelined Many-SIMD Augmented Reality Processor with Congestion-aware Network-on-Chip Scheduler

COOLCHIPS 2014

 Gyeonghoon Kim, Seongwook Park, Kyuho Lee, Youchang Kim, Injoon Hong, Kyeongryeol Bong, Dongjoo Shin, Sungpill Choi, Junyoung Park, and Hoi-Jun Yoo
214
A 4.9m§Ù Sensitivity Mobile Electrical Impedance Tomography IC for Early Breast Cancer Detection System
ISSCC 2014
 Sunjoo Hong, Kwonjoon Lee, Unsoo Ha, Hyunki Kim, Yongsu Lee, Youchang Kim, and Hoi-Jun Yoo
213
A 1.22TOPS and 1.52mW/MHz Augmented Reality Multi-Core Processor with Neural Network NoC for HMD Applications
ISSCC 2014
 Gyeonghoon Kim, Youchang Kim, Kyuho Lee, Seongwook Park, Injoon Hong, Kyeongryeol Bong, Dongjoo Shin, Sungpill Choi, Jinwook Oh, and Hoi-Jun Yoo
212
A 2.14mW EEG Neuro-feedback Processor with Transcranial Electrical Stimulation for Mental Health Management
ISSCC 2014
 Taehwan Roh, Kiseok Song, Hyunwoo Cho, Dongjoo Shin, Unsoo Ha, Kwonjoon Lee, and Hoi-Jun Yoo
2013
211
Bio-Feedback Iontophoresis Patch for Controllable Transdermal Drug Delivery
BioCAS 2013
 Kiseok Song, Unsoo Ha, Jaehyuk Lee, and Hoi-Jun Yoo
210
A High-throughput 16x Super Resolution Processor for Real-Time Object Recognition SoC
ESSCIRC 2013
 Junyoung Park, Byeong-Gyu Nam, and Hoi-Jun Yoo
209
A 125,582 vector/s Throughput and 95.1% Accuracy ANN Searching Processor with Neuro-Fuzzy Vision Cache for Real-time Object Recognition
SOVC 2013
 Injoon Hong, Junyoung Park, Gyeonghoon Kim, Jinwook Oh and Hoi-Jun Yoo
208
A multi-granularity parallelism object recognition processor with content-aware fine-grained task scheduling
COOLCHIPS 2013
 Junyoung Park, Injoon Hong, Gyeonghoon Kim, Youchang Kim, Kyuho Lee, Seongwook Park, Kyeongryeol Bong, and Hoi-Jun Yoo
207
A 32.8mW 60fps Cortical Vision Processor for Spatio-Temporal Action Recognition
ISCAS 2013
 Seongwook Park, Junyoung Park, Injoon Hong, and Hoi-Jun Yoo
206
A 0.7pJ/bit 2Gbps Self-synchronous Serial Link Receiver Using Gated-ring Oscillator for Inductive Coupling Communication
ISCAS 2013
 Unsoo Ha, Hyunwoo Cho, and Hoi-Jun Yoo
205
A 34.1fps Scale-space Processor with Two-dimensional Cache for Real-time Object Recognition
ISCAS 2013
 Youchang Kim, Junyoung Park, and Hoi-Jun Yoo
204
A Multi-Modal and Tunable Radial-Basis-Funtion Circuit with Supply and Temperature Compensation
ISCAS 2013
 Kyuho Lee, Junyoung Park, Gyeonghoon Kim, Injoon Hong, and Hoi-Jun Yoo
203
A 646GOPS/W Multi-classifier Many-core Processor with Cortex-like Architecture for Super-Resolution Recognition
ISSCC 2013
 Junyoung Park, Injoon Hong, Gyeonghoon Kim, Youchang Kim, Kyuho Lee, Seongwook Park, Kyeongryeol Bong, Hoi-Jun Yoo
202
An 87mA·min Iontophoresis Controller IC with Dual-Mode Impedance Sensor for Patch Type Transdermal Drug Delivery System
ISSCC 2013
 Kiseok Song, Unsoo Ha, Jaehyuk Lee, Kyeongryeol Bong, and Hoi-Jun Yoo
201
A 5.5mW IEEE 802.15.6 Wireless Body Area Network Standard Transceiver for Multi-Channel Electro-Acupuncture Application
ISSCC 2013
 Hyungwoo Lee, Kwonjoon Lee, Sunjoo Hong, Kiseok Song, Taehwan Roh, Joonsung Bae, and Hoi-Jun Yoo
200
1.2Gb/s, 3.9pJ/b Mono-Phase Pulse Modulation Inductive Coupling Transceiver for mm-range Board-to-Board Communication
ISSCC 2013
 Hyunwoo Cho, Unsoo Ha, Taehwan Roh, Dongchurl Kim, Jaehyuck Lee, Yunje Oh, and Hoi-Jun Yoo
2012
199
Compact Electro-Acupuncture System for Multi-Modal Feedback Stimulation
BioCAS 2012
 Kiseok Song, Hyungwoo Lee, Sunjoo Hong, Hyunwoo Cho, Kwonjoon Lee, and Hoi-Jun Yoo
198
Live Demonstration: Wearable Mental Health Monitoring System with Planar-Fashonable Circuit Board
BioCAS 2012
 Taehwan Roh, Kyungryul Bong, Sunjoo Hong, Hyunwoo Cho, and Hoi-Jun Yoo
197
A 45¥ìW Injection-Locked FSK Wake-Up Receiver for Crystal-Less Wireless Body-Area-Network
A-SSCC 2012
 Joonsung Bae, and Hoi-Jun Yoo
196
A Dynamic Resource Controller with Network-on-chip for a 10.5nJ/pixel Object Recognition Processor
A-SSCC 2012
 Jinwook Oh, Injoon Hong, Gyeonghoon Kim, Junyoung Park, and Hoi-Jun Yoo
195
A Dynamic Electrode Impedance Matched Acupuncture-Type Diagnosis System with Concurrent Feedback of Physiological Signals
A-SSCC 2012
 Kiseok Song, Sunjoo Hong, Taehwan Roh, Unsoo Ha, and Hoi-Jun Yoo
194
Wearable Mental-health Monitoring Platform with Independent Component Analysis and Nonlinear Chaotic Analysis
EMBC 2012
 Taehwan Roh, Kyeongryeol Bong, Sunjoo Hong, Hyunwoo Cho, and Hoi-Jun Yoo
193
The Compact Electro-Acupuncture System for Multi-Modal Feedback Electro-Acupuncture Treatment
EMBC 2012
 Kiseok Song, Hyungwoo Lee, Sunjoo Hong, Hyunwoo Cho, and Hoi-Jun Yoo
192
A 86mW 98GOPS ANN-Searching Processor for Full-HD 30fps Video Object Recognition with Zeroless Locality-Sensitive Hashing
ESSCIRC 2012
 Gyeonghoon Kim, Jinwook Oh, and Hoi-Jun Yoo
191
A 46¥ìW Motion Artifact Reduction Bio-Signal Sensor with ICA Based Adaptive DC Level Control for Sleep Monitoring System
CICC 2012
 Sunjoo Hong, Seulki Lee, Taehwan Roh, and Hoi-Jun Yoo
190
Online Reinforcement Learning NoC for Portable HD Object Recognition Processor
CICC 2012
 Junyoung Park, Injoon Hong, Gyeonghoon Kim, Jinwook Oh, Seungjin Lee, and Hoi-Jun Yoo
189
A Simultaneous Multithreading Heterogeneous Object Recognition Processor with Machine Learning Based Dynamic Resource Management
COOLCHIPS 2012
 Jinwook Oh, Gyeonghoon Kim, Junyoung Park, Injoon Hong, Seungjin Lee, Joo-Young Kim, and Hoi-Jun Yoo
188
A 2.1¥ìW Real-Time Reconfigurable Wearable Ban Controller with Dual Linked List Structure
ISCAS 2012
 Seulki Lee, Taehwan Roh, Sunjoo Hong, and Hoi-Jun Yoo
187
A 39¥ìW Body Channel Communication Wake-up Receiver with Injection-locking Ring-oscillator for Wireless Body Area Network
ISCAS 2012
 Hyunwoo Cho, Joonsung Bae, and Hoi-Jun Yoo
186
An Energy-Efficient Body Channel Communication based on Maxwell's Equations Analysis of On-Body Transmission Mechanism
ISMICT 2012
 Joonsung Bae, Kiseok Song, Hyunwoo Cho, Hyungwoo Lee, and Hoi-Jun Yoo
185
A 320mW 342GOPS Real-Time Moving Object Recognition Processor for HD 720p Video Streams
ISSCC 2012
 Jinwook Oh, Gyeonghoon Kim, Junyoung Park, Injoon Hong, and Hoi-Jun Yoo
184
A 259.6μW Nonlinear HRV-EEG Chaos Processor with Body Channel Communication Interface for Mental Health Monitoring
ISSCC 2012
 Taehwan Roh, Sunjoo Hong, Hyunwoo Cho, and Hoi-Jun Yoo
183
A Sub-10nA DC-Balanced Adaptive Stimulator IC with Mulimodal Sensor for Compact Electro-Acupuncture System
ISSCC 2012
 Kiseok Song, Hyungwoo Lee, Sunjoo Hong, Hyunwoo Cho, and Hoi-Jun Yoo
2011
182
A 15μW 16 Channel ExG Processor with Data Transition Memory-Quad Level Vector for Wearable Healthcare Platform
BioCAS 2011
 Taehwan Roh, Seulki Lee, and Hoi-Jun Yoo
181
A 92mW Real-Time Traffic Sign Recognition System with Robust Light and Dark Adaptation
A-SSCC 2011
 Junyoung Park, Joonsoo Kwon, Jinwook Oh, Seungjin Lee, and Hoi-Jun Yoo
180
An Asynchronous Mixed-mode Neuro-Fuzzy Controller for Energy Efficient Machine Intelligence SoC
A-SSCC 2011
 Jinwook Oh, Gyeonghoon Kim, and Hoi-Jun Yoo
179
A Low Energy Crystal-Less Double-FSK Transceiver for
Wireless Body-Area-Network
A-SSCC 2011
 Joonsung Bae, Kiseok Song, Hyungwoo Lee, Hyunwoo Cho, and Hoi-Jun Yoo
178
A 20µW Contact Impedance Sensor for Wireless Body-Area-Network Transceiver
CICC 2011
 Kiseok Song, Joonsung Bae, Long Yan, and Hoi-Jun Yoo
177
A 3-Channel 150µW Electrooculography (EOG) Monitoring System for Wearable Human Computer Interface (HCI)
ESSCIRC 2011 (Fringe Poster)
 Seulki Lee, Sunjoo Hong, Changmin Joo, and Hoi-Jun Yoo
176
Fabric Circuit Board-Based Dry Electrode and its Characteristics for Long-Term Physiological Signal Recording
EMBC 2011
 Jerald Yoo, and Hoi-Jun Yoo
175
The Smart Patches and Wearable Band (W-Band) for Comfortable Sleep Monitoring System
EMBC 2011
 Seulki Lee, Long Yan, Taehwan Roh, Sunjoo Hong, and Hoi-Jun Yoo
174
Wearable Healthcare with Attachable Sensors
EMBC 2011
 Hoi-Jun Yoo, Jerald Yoo, Long Yan, Seulki Lee, and Joonsung Bae
173
1.15mW Mixed-mode Neuro-Fuzzy Accelerator for keypoint Localization in Image Processing
MWSCAS 2011
 Injoon Hong, Jinwook Oh, and Hoi-Jun Yoo
172
A 5.3µW Contact Monitoring Sensor with BCC Electrode and MICS Antenna for Energy Efficient Unified WBAN Transceiver
MWSCAS 2011
 Hyunwoo Cho, Joonsung Bae, Kiseok Song, and Hoi-Jun Yoo
171
A 2.4µW 400nC/s Constant Charge Injector for Wirelessly-Powered
Electro-Acupuncture
ISCAS 2011
 Hyungwoo Lee, Kiseok Song, Long Yan, and Hoi-Jun Yoo
170
A 145µW 8x8 Parallel Multiplier Based on Optimized Bypassing Architecture
ISCAS 2011
 Sunjoo Hong, Taehwan Roh, and Hoi-Jun Yoo
169
A Low-energy Hybrid Radix-4/-8 Multiplier for Portable Multimedia Applications
ISCAS 2011
 Gyeonghoon Kim, Seungjin Lee, Junyoung Park, and Hoi-Jun Yoo
168
A 57mW Embedded Mixed-Mode Neuro-Fuzzy Accelerator for Intelligent
Multi-core Processor
ISSCC 2011
 Jinwook Oh, Junyoung Park, Gyeonghoon Kim, Seungjin Lee, and Hoi-Jun Yoo
167
A 75µW Real-Time Scalable Network Controller and a 25µW ExG Sensor IC for Compact Sleep-Monitoring Applications
ISSCC 2011
 Seulki Lee, Long Yan, Taehwan Roh, Sunjoo Hong, and Hoi-Jun Yoo
166
A 0.24nJ/b Wireless Body-Area-Network Transceiver with Scalable Double-FSK Modulation
ISSCC 2011
 Joonsung Bae, Kiseok Song, Hyungwoo Lee, Hyunwoo Cho, and Hoi-Jun Yoo
2010
165
Compact Textile Patch Antenna for Wearable Fabric Applications
APMC 2010
 Sang-Jun Ha, Seulki Lee, Hoi-Jun Yoo, and Chang-Won Jung
164
A 60µW 10Mb/s Fully Digital FSK Demodulator for Power-Jitter Efficient Medical BAN
APCCAS 2010
 Hyungwoo Lee, Taehwan Roh, Joonsung Bae, and Hoi-Jun Yoo
163
A Combined Method to Reduce Motion Artifact and Power Line Interference for Wearable Healthcare Systems
APCCAS 2010
 Sunjoo Hong, Kiseok Song, Long Yan, and Hoi-Jun Yoo
162
A 1Mb/s, -75dBm Sensitive Fully Integrated Body Channel Transceiver for a Low Energy Compact Wearable Healthcare Sensor
A-SSCC 2010
 Long Yan, Joonsung Bae, and Hoi-Jun Yoo
161
92mW 76.8GOPS Vector Matching Processor with Parallel Huffman Decoder and Query Re-ordering Buffer for Real-time Object Recognition
A-SSCC 2010
 Seungjin Lee, Joonsoo Kwon, Jinwook Oh, Junyoung Park, and Hoi-Jun Yoo
160
Wearable Sensor Nodes Design for Home Healthcare System
uHealthcare 2010
 Seulki Lee, and Hoi-Jun Yoo
159
Unified Body Sensor Network Transceivers for Wireless Healthcare System
uHealthcare 2010
 Joonsung Bae, and Hoi-Jun Yoo
158
Arm-Band type Textile-MP3 Player with Multi-layer Planar Fashionable Circuit Board (P-FCB) Techniques
ISWC 2010
 Seulki Lee, Binhee Kim, Taehwan Roh, Sunjoo Hong, and Hoi-Jun Yoo
157
Intelligent NoC with Neuro-Fuzzy Bandwidth Regulation for a 51 IP Object Recognition Processor
CICC 2010
 Seungjin Lee, Jinwook Oh, Minsu Kim, Junyoung Park, Joonsoo Kwon, Joo-Young Kim, and Hoi-Jun Yoo
156
Emerging Low Energy Wearable Body Sensor Networks using Patch Sensors for Continuous Healthcare Applications
EMBC 2010
 Jerald Yoo, and Hoi-Jun Yoo
155
Wireless Fabric Patch Sensors for Wearable Healthcare
EMBC 2010
 Hoi-Jun Yoo, Jerald Yoo, and Long Yan
154
A low Power ECG Signal Processor for Ambulatory Arrhythmia Monitoring System
SOVC 2010
 Hyejung Kim, Refet Firat Yazicioglu, Tom Torfs, Patrick Merken, Hoi-Jun Yoo, and Chris Van Hoof
153
A 1.2mW On-Line Learning Mixed Mode Intelligent Inference Engine for Robust Object Recognition
SOVC 2010
 Jinwook Oh, Seungjin Lee, Minsu Kim, Joonsoo Kwon, Junyoung Park, Joo-Young Kim, and Hoi-Jun Yoo
152
A Low Energy Injection-Locked FSK Transceiver with Frequency-to-Amplitude Conversion for Body Sensor Applications
SOVC 2010
 Joonsung Bae, and Hoi-Jun Yoo
151
A Wirelessly-Powered Electro-Acupuncture based on Adaptive Pulse Width Mono-Phase Stimulation
ISCAS 2010
 Kiseok Song, Seulki Lee, and Hoi-Jun Yoo
150
A 22.4mW Competitive Fuzzy Edge Detection Processor for Volume Rendering
ISCAS 2010
 Joonsoo Kwon, Minsu Kim, Jinwook Oh, and Hoi-Jun Yoo
149
A 30fps Stereo Matching Processor Based on Belief Propagation with Disparity-Parallel PE Array Architecture
ISCAS 2010
 Junyoung Park, Seungjin Lee, and Hoi-Jun Yoo
148
A 10Mb/s 4ns Jitter Direct Conversion Low Modulation Index FSK Demodulator for Low-energy Body Sensor Network
ISCAS 2010
 Taehwan Roh, Joonsung Bae, and Hoi-Jun Yoo
147
Live Demonstration: A Real-time Compensated Inductive Transceiver for Wearable MP3 Player System on Multi-layered Planar Fashionable Circuit Board
ISCAS 2010
 Seulki Lee, Seungwook Paek, and Hoi-Jun Yoo
146
A 36 Heterogeneous Core Architecture with Resource-Aware Fine-grained Task Scheduling for Feedback Attention based Object Recognition
COOL Chips
2010
 Seungjin Lee, Jinwook Oh, Minsu Kim, Joonyoung Park, Joonsoo Kwon, Joo-Young Kim, and Hoi-Jun Yoo
145
A Smart Poultice with Reconfigurable Sensor Array for Wearable Cardiac Healthcare
Pervasive Health
2010
 Long Yan and Hoi-Jun Yoo
144
A 345mW Heterogeneous Many-Core Processor with an Intelligent Inference Engine for Robust Object Recognition
ISSCC 2010
 Seungjin Lee, Jinwook Oh, Minsu Kim, Junyoung Park, Joonsoo Kwon, and Hoi-Jun Yoo
143
A 3.9mW 25-Electrode Reconfigured Thoracic Impedance/ECG SoC with Body-Channel Transponder
ISSCC 2010
 Long Yan, Joonsung Bae, Seulki Lee, Binhee Kim, Taehwan Roh, Kiseok Song, and Hoi-Jun Yoo
2009
142
A 0.5µVrms 12µW Patch Type Fabric Sensor for Wearable Body Sensor Network
A-SSCC 2009
 Long Yan, Jerald Yoo, Binhee Kim, and Hoi-Jun Yoo
141
A 1.3pJ/b Inductive Coupling Transceiver with Adaptive Gain Control for Cm-range 50Mbps Data Communication
A-SSCC 2009
 Seulki Lee, Jerald Yoo, Kiseok Song, and Hoi-Jun Yoo
140
A 54GOPS 51.8mW Analog-Digital Mixed Mode Neural Perception Engine for Fast Object Detection
CICC 2009
 Minsu Kim, Joo-Young Kim, Seungjin Lee, Jinwook Oh, and Hoi-Jun Yoo
139
An Attachable ECG Sensor Bandage with Planar-Fashionable Circuit Board
ISWC 2009
 Jerald Yoo, Long Yan, Seulki Lee, Hyejung Kim, Binhee Kim, and Hoi-Jun Yoo
138
A 118.4GB/s Multi-Casting Network-on-Chip for Real-Time Object Recognition Processor
ESSCIRC 2009
 Joo-Young Kim, Kwanho Kim, Minsu Kim, Seungjin Lee, Jinwook Oh, and Hoi-Jun Yoo
137
An Integrated Circuit for Wireless Ambulatory Arrhythmia Monitoring Systems
EMBC 2009
 Hyejung Kim, Refet Firat Yazicioglu, Tom Torfs, Patrick Merken, Chris Van Hoof, and Hoi-Jun Yoo
136
Low Energy Wearable Body-Sensor-Network
EMBC 2009
 Hoi-Jun Yoo, Namjun Cho, and Jerald Yoo
135
A 60fps 496mW Multi-Object Recognition Processor with Workload-Aware Dynamic Power Management
ISLPED 2009
 Joo-Young Kim, Seungjin Lee, Jinwook Oh, Minsu Kim, and Hoi-Jun Yoo
134
A Dynamic Real-time Capacitor Compensated Inductive Coupling Transceiver for Wearable Body Sensor Network
SOVC 2009
 Seulki Lee, Jerald Yoo, Hyejung Kim, and Hoi-Jun Yoo
133
A 490µW Fully MICS Compatible FSK Transceiver for Implantable Devices
SOVC 2009
 Joonsung Bae, Namjun Cho, and Hoi-Jun Yoo
132
A 22.8GOPS 2.83mW Neuro-fuzzy Object Detection Engine for Fast Multi-object Recognition
SOVC 2009
 Minsu Kim, Joo-Young Kim, Seungjin Lee, Jinwook Oh, and Hoi-Jun Yoo
131
A Wearable Fabric Computer by Planar-Fashionable Circuit Board Technique
BSN 2009
 Hyejung Kim, Yongsang Kim, Binhee Kim, and Hoi-Jun Yoo
130
An Energy-Efficient Dual Sampling SAR ADC with Reduced Capacitive DAC
ISCAS 2009
Binhee Kim,Long Yan, Jerald Yoo, Namjun Cho, and Hoi-Jun Yoo
129
An Area Efficient Shared Synapse Cellular Neural Network for Low Power Image Processing
VLSI-DAT 2009
Jinwook Oh, Seungjin Lee, Joo-Young Kim, and Hoi-Jun Yoo
128
A 1.55ns 0.015mm2 64-bit Quad Number Comparator
VLSI-DAT 2009
 Minsu Kim, Joo-Young Kim, and Hoi-Jun Yoo
127
A Wearable Inductor Channel Design for Blood Pressure Monitoring System in Daily Life
Pervasive Health
2009
 Seulki Lee, Jerald Yoo, and Hoi-Jun Yoo
126
An Energy Efficient Real-Time Object Recognition Processor with Neuro-Fuzzy Controlled Workload-aware Task Pipelining
COOL Chips
2009
 Joo-Young Kim, Minsu Kim, Seungjin Lee, Jinwook Oh, Kwanho Kim, Jeong-Ho Woo, and Hoi-Jun Yoo
125
A 10.8mW Body-Channel-Communication/MICS Dual-Band Transceiver for a Unified Body-Sensor-Network Controller
ISSCC 2009
 Namjun Cho, Joonsung Bae, Sunyoung Kim, and Hoi-Jun Yoo
124
A 5.2mW Self-Configured Wearable Body Sensor Network Controller and a 12¥ìW 54.9% Efficiency Wirelessly Powered Sensor for Continuous Health Monitoring System
ISSCC 2009
 Jerald Yoo, Long Yan, Seulki Lee , Yongsang Kim, Hyejung Kim, Binhee Kim, and Hoi-Jun Yoo
123
A 201.4GOPS 496mW Real-Time Multi-Object Recognition Processor with Bio-Inspired Neural Perception Engine
ISSCC 2009
 Joo-Young Kim, Minsu Kim, Seungjin Lee, Jinwook Oh, Kwanho Kim, Sejong Oh, Jeong-Ho Woo, Donghyun Kim, and Hoi-Jun Yoo
2008
122
An Interference-Resilient Body Channel Transceiver for Wearable Body Sensor Network
BioCAS 2008
 Namjun Cho, Joonsung Bae, and Hoi-Jun Yoo
121
A Two-Electrode 2.88nJ/Conversion Biopotential Acquisition System for Portable Healthcare Device
A-SSCC 2008
 Long Yan, Namjun Cho, Jerald Yoo, Binhee Kim, and Hoi-Jun Yoo
120
A Low Energy Bio Sensor Node Processor for Continuous Healthcare Monitoring System
A-SSCC 2008
 Hyejung Kim, Yongsang Kim , and Hoi-Jun Yoo
119
A 1.12pJ/b Resonance Compensated Inductive Transceiver with a Fault-Tolerant Network Controller for Wearable Body Sensor Networks
A-SSCC 2008
 Jerald Yoo, Seulki Lee , and Hoi-Jun Yoo
118
A 76.8GB/s 46mW Low-latency Network-on-Chip for Real-time Object Recognition Processor
A-SSCC 2008
 Kwanho Kim, Joo-Young Kim, Seungjin Lee, Minsu Kim, and Hoi-Jun Yoo
117
A 66fps 38mW Nearest Neighbor Matching Processor with Hierarchical VQ Algorithm for Real-Time Object Recognition
A-SSCC 2008
 Joo-Young Kim, Kwanho Kim, Seunjin Lee, Minsu Kim, and Hoi-Jun Yoo
116
A 211GOPS/W Dual-Mode Real-Time Object Recognition Processor with Network-on-Chip
ESSCIRC 2008
 Kwanho Kim, Joo-Young Kim, Seungjin Lee, Minsu Kim, and Hoi-Jun Yoo
115
A Low Cost Quadratic Level ECG Compression Algorithm and Its Hardware Optimization for Body Sensor Network System
EMBC 2008
  Hyejung Kim, Yongsang Kim, and Hoi-Jun Yoo
114
Autonomous Gain Verification Algorithm for a Dual Mode Digital Hearing Aid Chip
EMBC 2008
 Sunyoung Kim , Long Yan, Minsu Kim, Joonsung Bae and Hoi-Jun Yoo
113
The Brain Mimicking Visual Attention Engine: An 80x60 Digital Cellular Neural Network for Rapid Global Feature Extraction
SOVC 2008
 Seungjin Lee, Kwanho Kim, Minsu Kim, Joo-Young Kim, and Hoi-Jun Yoo
112
Vision Platform for Mobile Intelligent Robots Based on 81.6 GOPS Objects Recognition Processor
DAC 2008
 Donghyun Kim, Kwanho Kim, Joo-Young Kim, Seungjin Lee, and Hoi-Jun Yoo
111
A Healthcare Monitoring System with Wireless Woven Inductor Channels for Body Sensor Network
BSN 2008
 Seulki Lee, Jerald Yoo, and Hoi-Jun Yoo
110
A 0.6pJ/b 3Gb/s/ch Transceiver in 0.18 um CMOS for 10mm On-chip interconnects
ISCAS 2008
 Joonsung Bae, Joo-Young Kim, and Hoi-Jun Yoo
109
A 6.3nJ/op Low Energy 160-bit Modulo-Multiplier for Elliptic Curve Cryptography Processor
ISCAS 2008
 Hyejung Kim, Yongsang Kim, and Hoi-Jun Yoo
108
A 200Mbps 0.02nJ/b dual-mode inductive coupling transceiver for cm-range interconnection
ISCAS 2008
 Seulki Lee, Jerald Yoo, and Hoi-Jun Yoo
107
Analysis of Body Sensor Network Using Human Body as the Channel
BodyNets 2008
 Jerald Yoo, Namjun Cho, and Hoi-Jun Yoo
106
A 60kb/s-to-10Mb/s, 0.37nJ/b Adaptive-Frequency-Hopping Transceiver for Body-Area Network
ISSCC 2008
  Namjun Cho, Jeabin Lee, Long Yan, Joonsung Bae, Sunyoung Kim, and Hoi-Jun Yoo
105
A 1.12mW Continuous Healthcare Monitor Chip Integrated on A Planar-Fashionable Circuit Board
ISSCC 2008
  Hyejung Kim, Youngsang Kim, Young-se Kwon, and Hoi-Jun Yoo
104
 A 125GOPS 583mW Network-on-Chip Based Parallel Processor with Bio-inspired Visual Attention Engine
ISSCC 2008
  Kwanho Kim, Seungjin Lee, Joo-Young Kim, Minsu Kim, Donghyun Kim, Jeong-Ho Woo, and Hoi-Jun Yoo
2007
103
  A 28.5mW 2.8GFLOPS floating-point multifunction unit for handheld 3D graphics processors
A-SSCC 2007
  Byeong-Gyu Nam, and Hoi-Jun Yoo
102
  A 195mW, 9.1MVertices/s Fully Programmable 3D Graphics Processor for Low Power Mobile Devices
A-SSCC 2007
  Jeong-Ho Woo, Ju-Ho Sohn, Hyejung Kim, Jongchel Jeong, Euljoo Jeong, Suk-Joong Lee, and Hoi-Jun Yoo
101
  Implementation of Memory-Centric NoC for 81.6 GOPS Object Recognitiion Processor
A-SSCC 2007
  Donghyun Kim, Kwanho Kim, Joo-Young Kim, Seungjin Lee, and Hoi-Jun Yoo
100
  Bitwise Competition Logic for Compact Digital Comparator
A-SSCC 2007
  Joo-Young Kim, and Hoi-Jun Yoo
99
  Dynamic Voltage and Frequency Scaling (DVFS) Scheme for Multi-Domains Power Management
A-SSCC 2007
  Jeabin Lee, Byeong-Gyu Nam, and Hoi-Jun Yoo
98
  An 81.6GOPS Object Recognition Processor Based on NoC and Visual Image Processing Memory
CICC 2007
  Donghyun Kim, Kwanho Kim, Joo-Young Kim, Seungjin Lee, and Hoi-Jun Yoo
97
  A Real-Time Feedback Controlled Hearing Aid Chip with Reference Ear Model
CICC 2007
  Sunyoung Kim, Seung-Jin Lee, Namjun Cho, Seong-Jun Song and Hoi-Jun Yoo
96
  An Embedded 8-bit RISC Controller for Yield Enhancement of the 90-nm PRAM
CICC 2007
  Hyejung Kim, Kyomin Sohn, Jerald Yoo and Hoi-Jun Yoo
95
  A Low-Power Vector Processor Using Logarithmic Arithmetic for Handheld 3D Graphics Systems
ESSCIRC 2007
  Byeong-Gyu Nam and Hoi-Jun Yoo
94
  Visual Image Processing RAM for Fast 2-D Data Location Search
ESSCIRC 2007
  Joo-Young Kim , Donghyun Kim, Seung-Jin Lee, Kwanho Kim, Sunghyun Jeon and Hoi-Jun Yoo
93
 A Low Power Multimedia SoC with Fully Programmable 3D Graphics and MPEG4/H.264/JPEG for Mobile Devices
ISLPED 2007
  Jeong-Ho Woo, Ju-Ho Sohn, Hyejung Kim, Jongcheol Jeong, Euljoo Jeong, Suk Joong Lee and Hoi-Jun Yoo
92
  The Reference Ear Modeling Method for Internally Feedback Controlled Digital Hearing Aid Chip
EMBC 2007
  Sunyoung Kim, Seung-Jin Lee, Namjun Cho, Seong-Jun Song and Hoi-Jun Yoo
91
  Energy-Efficient Human Body Communication Receiver Chipset Using Wideband Signaling Scheme
EMBC 2007
  Seong-Jun Song, Namjun Cho, Sunyoung Kim and Hoi-Jun Yoo
90
  Dual Threshold Preamplifier and Multi-Channel DSP for Human Factored Digital Hearing Aid Chip
SOVC 2007
  Sunyoung Kim, Seung-Jin Lee, Namjun Cho, Seong-Jun Song and Hoi-Jun Yoo
89
  A 152mW Mobile Multimedia SoC with Fully Programmable 3D Graphics and MPEG4/H.264/JPEG
SOVC 2007
  Jeong-Ho Woo, Ju-Ho Sohn, Hyejung Kim, Jongcheol Jeong, Euljoo Jeong, Suk Joong Lee and Hoi-Jun Yoo
88
  Processor-Based Built-in Self-Optimizer for 90nm Diode-Switch PRAM
SOVC 2007
  Kyomin Sohn, Hyejung Kim, Jerald Yoo, Jeong-Ho Woo, Seung-Jin Lee, Woo-Yeong Cho, Bo-Tak Lim, Byung-Gil Choi, Chang-Sik Kim, Choong-Keun Kwak, Chang-Hyun Kim and Hoi-Jun Yoo
87
  A Power Management Unit with Continuous Co-Locking of Clock Frequency and Supply Voltage for Dynamic Voltage and Frequency Scaling
ISCAS 2007
  Jeabin Lee, Byeong-Gyu Nam, Seong-Jun Song, Namjun Cho and Hoi-Jun Yoo
86
  A Low Power Digital Signal Processor with Adaptive Band Activation for Digital Hearing Aid Chip
ISCAS 2007
  Seung Jin Lee, Sunyoung Kim, and Hoi-Jun Yoo
85
  Solutions for Real Chip Implementation Issues of NoC and Their Application to Memory-Centric NoC
NOCS 2007
  Donghyun Kim, Kwanho Kim, Joo-Young Kim, Seungjin Lee, and Hoi-Jun Yoo
84
  Cost-efficient Network-on-Chip Design Using Traffic Monitoring System
DATE W/S 2007
  Kwanho Kim, Donghyun Kim, Kangmin Lee, and Hoi-Jun Yoo
83
  A Low Power Compression Processor for Body Sensor Network System
BSN 2007
  Hyejung Kim, Sungdae Choi, and Hoi-Jun Yoo
82
  Low Energy On-Body Communication for BSN
BSN 2007
  Hoi-Jun Yoo, Seong-Jun Song, Namjun Cho and Hye-Jeong Kim
81
  A 152mW/195mW Multimedia Processor with Fully Programmable 3D Graphics and MPEG/H.264/JPEG for Handheld Devices
DAC 2007
¡¡
  Jeong-Ho Woo, Ju-Ho Sohn, Hyejung Kim, Jongcheol Jeong, Euljoo Jeong, Suk Joong Lee and Hoi-Jun Yoo
80
  A Fully Integrated Digital Hearing-Aid Chip with Human-Factors Considerations
ISSCC 2007
  Sunyoung Kim, Seung Jin Lee, Namjun Cho, Seong-Jun Song and Hoi-Jun Yoo
79
  A 0.9V 2.6mW Body-Coupled Scalable PHY Transceiver for Body Sensor Applications
ISSCC 2007
  Seong-Jun Song, Namjun Cho, Sunyoung Kim, Jerald Yoo, Sungdae Choi and Hoi-Jun Yoo
78
  A 52.4mW 3D Graphics Processor with 141Mvertices/s Vertex Shader and 3 Power Domains of Dynamic Voltage and Frequency Scaling
ISSCC 2007
  Byeong-Gyu Nam, Jeabin Lee, Kwanho Kim, Seung Jin Lee and Hoi-Jun Yoo
2006
77
  Clearphone : A 0.9 V 96 µW Digital Hearing Aid System
BIOCAS 2006
  Sunyoung Kim, Namjun Cho, Seong-Jun Song, Donghyun Kim, Kwanho Kim and Hoi-Jun Yoo
76
  A Low Power 16-bit RISC with Lossless Compression Accelerator for Body Sensor Network System
A-SSCC 2006
  Hyejung Kim, Sungdae Choi, and Hoi-Jun Yoo
75
  A TCAM-based Periodic Event Generator for Multi-Node Management in the Body Sensor Network
A-SSCC 2006
  Sungdae Choi, Kyomin Sohn, Jooyoung Kim, Jerald Yoo, and Hoi-Jun Yoo
74
  A 0.6-V, 6.8-μW Embedded SRAM for Ultra-low Power SoC
A-SSCC 2006
  Kyomin Sohn, Sungdae Choi, Jeong-Ho Woo, Jooyoung Kim, and Hoi-Jun Yoo
73
  A 210MHz, 15mW Unified Vector and Transcendental Function Unit for Handheld 3-D Graphics Systems
A-SSCC 2006
  Byeong-Gyu Nam, Hyejung Kim, and Hoi-Jun Yoo
72
  A Low-power Star-topology Body Area Network Controller for Periodic Data Monitoring Around and Inside the Human Body
ISWC 2006
  Sungdae Choi, Seong-Jun Song, Kyomin Sohn, Hyejung Kim, Jooyoung Kim, Jerald Yoo, and Hoi-Jun Yoo
71
  Low Power Wearable Audio Player Using Human Body Communications
ISWC 2006
  Seong-Jun Song, Seung Jin Lee, Namjun Cho, and Hoi-Jun Yoo
70
  A 24.2-μW Dual-Mode Human Body Communication Controller for Body Sensor Network
ESSCIRC 2006
  Sungdae Choi, Seong-Jun Song, Kyomin Sohn, Hyejung Kim, Jooyoung Kim, Namjun Cho, Jeong-Ho Woo, Jerald Yoo and Hoi-Jun Yoo
69
  A 4.8-mW 10-Mb/s Wideband Signaling Receiver Analog Front-End for Human Body Communications
ESSCIRC 2006
  Seong-Jun Song, Namjun Cho, Sunyoung Kim, and Hoi-Jun Yoo
68
  A Sub 1V 96μW Fully Operational Digital Hearing Aid Chip With Internal Status Controller
ESSCIRC 2006
  Sunyoung Kim, Namjun Cho, Seong-Jun Song, Donghyun Kim, Kwanho Kim and Hoi-Jun Yoo
67
  A Multi-Nodes Human Body Communication Sensor Network Control Processor
CICC 2006
  Sungdae Choi, Seong-Jun Song, Kyomin Sohn, Hyejung Kim, Jooyoung Kim, Namjun Cho, Jeong-Ho Woo, Jerald Yoo and Hoi-Jun Yoo
66
  A Low-Power Unified Arithmetic Unit for Programmable Handheld 3-D Graphics Systems
CICC 2006
  Byeong-Gyu Nam, Hyejung Kim, and Hoi-Jun Yoo
65
  A 0.9-V 96-¥ìW Digital Hearing Aid Chip with Heterogeneous ¥Ò-¥Ä DAC
SOVC 2006
  Sunyoung Kim, Namjun Cho, Seong-Jun Song, Donghyun Kim, Kwanho Kim and Hoi-Jun Yoo
64
  A 10-μW Digital Signal Processor with Adaptive-SNR Monitoring for a Sub-1V Digital Hearing Aid
ISCAS 2006
  Jerald Yoo, Sunyoung Kim, Namjun Cho, Seong-Jun Song, and Hoi-Jun Yoo
63
  A 372ps 64-bit Adder using Fast Pull-up Logic in 0.18-um CMOS
ISCAS 2006
  Jooyoung Kim, Kangmin Lee and Hoi-Jun Yoo
62
  Design and Test of Fixed-point Multimedia Co-processor for Mobile Applications
DATE 2006
  Ju-Ho Sohn, Jeong-Ho Woo, Jerald Yoo and Hoi-Jun Yoo
61
  A 2Mb/s Wideband Pulse Transceiver with Direct-Coupled Interface for Human Body Communications
ISSCC 2006
  Seong-Jun Song, Namjun Cho, Sunyoung Kim, Jerald Yoo and Hoi-Jun Yoo
2005
60
  A 231MHz, 2.18mW 32-bit Logarithmic Arithmetic Unit for Fixed-Point 3D Graphics System
A-SSCC 2005
  Hyejung Kim, Byeong-Gyu Nam, Ju-Ho Sohn and Hoi-Jun Yoo
59
  A 1.2Mpixels/s/mW 3-D Rendering Processor For Portable Multimedia Application
A-SSCC 2005
  Jeong-Ho Woo, Min-Wuk Lee, Hyejung Kim, Ju-Ho Sohn and Hoi-Jun Yoo
58
  Networks-on-chip and Networks-in-Package for High-Performance  SoC Platforms
A-SSCC 2005
  Kangmin Lee, Se-Joong Lee, Donghyun Kim, Kwanho Kim, Gawon Kim, Joungho Kim, and Hoi-Jun Yoo
57
  A 5.1-μW UHF RFID Tag Chip integrated with Sensors for Wireless Environmental Monitoring
ESSCIRC 2005
  Namjun Cho, Seong-Jun Song, Jae-Youl Lee, Sunyoung Kim, Shiho Kim, and Hoi-Jun Yoo
56
  A Fixed-point Multimedia Co-processor with 50Mvertices/s Programmable SIMD Vertex Shader for Mobile Applications
ESSCIRC 2005
  Ju-Ho Sohn, Jeong-Ho Woo, Min-wuk Lee, Hye-Jung Kim, Ramchan Woo, and Hoi-Jun Yoo
55
  An Energy-Efficient Analog Front-End Circuit for a Sub-1V Digital Hearing Aid Chip
SOVC 2005
  Sunyoung Kim, Jae-Youl Lee, Seong-Jun Song, Namjun Cho, and Hoi-Jun Yoo
54
  An Autonomous SRAM with On-Chip Sensors in an 80nm Double Stacked Cell Technology
SOVC 2005
  Kyomin Sohn, Namjun Cho, Hyejung Kim, Kwanho Kim, Hyun-Sun Mo, Young-Ho Suh, Hyun-Geun Byun and Hoi-Jun Yoo
53
  Adaptive Network-on-Chip with Wave-Front Train Serialization Scheme
SOVC 2005
  Se-Joong Lee, Kwanho Kim, Hyejung Kim, Namjun Cho, and Hoi-Jun Yoo
52
  A Fixed-Point 3D Graphics Library with Energy-Efficient Cache Architecture for Mobile Multimedia Systems
ISCAS 2005
  Min-wuk Lee, Byeong-Gyu Nam, Ju-Ho Sohn, Namjun Cho, Hyejung Kim, Kwanho Kim, and Hoi-Jun Yoo
51
  A 8-μW, 0.3mm2 RF-Powered Transponder With Temperature Sensor for Wireless Environmental Monitoring
ISCAS 2005
  Namjun Cho, Seong-Jun Song, Jae-Youl Lee, Sunyoung Kim, Shiho Kim, and Hoi-Jun Yoo
50
  A 0.9-V 67-μW Analog Front-End Using Adaptive-SNR Technique for Digital Hearing Aid
ISCAS 2005
  Sunyoung Kim, Jae-Youl Lee, Seong-Jun Song, Namjun Cho, and Hoi-Jun Yoo
49
  An Arbitration Look-Ahead Scheme for Reducing End-to-End Latency in Networks-on-Chip
ISCAS 2005
  Kwanho Kim, Se-Joong Lee, Kangmin Lee and Hoi-Jun Yoo
48
  A Reconfigurable Crossbar Switch with Adaptive Bandwidth Control for Networks-on-Chip
ISCAS 2005
  Donghyun Kim, Kangmin Lee, Se-Joong Lee and Hoi-Jun Yoo
47
  A 50Mvertices/s Graphics Processor with Fixed-Point Programmable Vertex Shader for Mobile Applications
ISSCC 2005
  Ju-Ho Sohn, Jeong-Ho Woo, Min-Wuk Lee, Hye-Jung Kim, Ramchan Woo and Hoi-Jun Yoo
2004
46
  SILENT : Serialized Low Energy Transmission Coding for On-Chip Interconnection Networks
ICCAD 2004
  Kangmin Lee, Se-Joong Lee and Hoi-Jun Yoo
45
  Low Energy Transmission Coding for On-Chip Serial Communications
ISOCC 2004
  Kangmin Lee, Se-Joong Lee and Hoi-Jun Yoo
44
  A Small Ripple Regulated Charge Pump with Automatic Pumping Control Schemes
ESSCIRC 2004
  Sung-Eun Kim, Seong-Jun Song, Jin Kyung Kim, Sunyoung Kim, Jae-Youl Lee and Hoi-Jun Yoo
43
  A Programmable Vertex Shader with Fixed-Point SIMD Datapath for Low Power Wireless Applications
Graphics Hardware 2004
  Ju-Ho Sohn, Ramchan Woo and Hoi-Jun Yoo
42
  Arbitration Latency Analysis of the Shared Channel Architecture for High Performance Multi-Master SoC
AP-ASIC 2004
  Jisun Suh and Hoi-Jun Yoo
41
  A 0.7fJ/bit/search, 2.2ns Search-time, Hybrid type TCAM Architecture
ISSCC 2004
  Sungdae Choi, Kyomin Sohn, Min-Wuk Lee, Sunyoung Kim, Hye-Mi Choi, Donghyun Kim, Uk-Rae Cho, Hyun-Geun Byun, Yun-Seung Shin and Hoi-Jun Yoo
40
  A 51mW 1.6GHz On-Chip Network for Low-Power Heterogeneous SoC Platform
ISSCC 2004
  Kangmin Lee, Se-Joong Lee, Sung-Eun Kim, Hye-Mi Choi, Donghyun Kim, Sunyoung Kim, Min-Wuk Lee   and Hoi-Jun Yoo
39
  A Low-Power Graphics LSI integrating 29Mb Embedded DRAM for Mobile Multimedia Applications
ASP-DAC 2004
  Ramchan Woo, Sungdae Choi, Ju-Ho Sohn, Seong-Jun Song, Young-Don Bae and Hoi-Jun Yoo
2003
38
  An analysis and implementation of high fairness arbitration mechanism by  using level-table and static priority orders in shared bus architecture
IP SoC 2003
  Jisuhn Suh and Hoi-Jun Yoo
37
  A Distributed On-Chip Crossbar Switch Scheduler for On-Chip Network
CICC 2003
  Kangmin Lee, Se-Joong Lee and Hoi-Jun Yoo
36
  A 10Gbps/port 8x8 Shared Bus Switch with embedded DRAM Hierarchical Output Buffer
ESSCIRC 2003
  Kangmin Lee, Se-Joong Lee and Hoi-Jun Yoo
35
  A High-Speed and Lightweight On-Chip Crossbar Switch Scheduler for On-Chip Interconnection Networks
ESSCIRC 2003
  Kangmin Lee, Se-Joong Lee and Hoi-Jun Yoo
34
  A Low-Power 3D Rendering Engine with Two Texture Units and 29Mb Embedded DRAM for 3G Multimedia Terminals
ESSCIRC 2003
  Ramchan Woo, Sungdae Choi, Ju-Ho Sohn, Seong-Jun Song, and Hoi-Jun Yoo
33
  A Low-Power and High-Performance 2D/3D Graphics Accelerator for Mobile Multimedia Applications
HotChips 2003
  Ramchan Woo, Sungdae Choi, Ju-Ho Sohn, Seong-Jun Song, Young-Don Bae and Hoi-Jun Yoo
32
  CMOS Optical Receiver Chipset for Gigabit Ethernet Applications
ISCAS 2003
  Sung-Eun Kim, Seong-Jun Song, Sung-Min Park and Hoi-Jun Yoo
31
  An 800MHz Star-Connected On-Chip Network for Application to Systems on a Chip
ISSCC 2003
  Se-Joong Lee, Seong-Jun Song, Kangmin Lee, Jeong-Ho Woo, Sung-Eun Kim, Byeong-Gyu Nam and  Hoi-Jun Yoo
30
  A 210mW Graphics LSI Implementing Full 3D Pipeline with 264Mtexels/s Texturing for Mobile Multimedia Applications
ISSCC 2003
  Ramchan Woo, Sungdae Choi, Ju-Ho Sohn, Seong-Jun Song, Young-Don Bae, Chi-Weon Yoon, Byeong- Gyu    Nam, Jeong-Ho Woo, Sung-Eun Kim, In-Cheol Park, Sungwon Shin, Kyung-Dong Yoo, Jin-Yong Chung and Hoi-Jun Yoo
2002
29
  A practical method to use eDRAM in the shared bus packet switch
GLOBECOM 2002
  Kangmin Lee, Se-joong Lee and Hoi-Jun Yoo
28
  Design and Implementation of Read-Compare-Write circuits for low power Multi-Gigabit DRAM
SSDM 2002
  Sungdae Choi, Yong-Ha Park and Hoi-Jun Yoo
27
  Optimization of portable system architecture for real time 3D graphics
ISCAS 2002
  Ju-ho Sohn, Ramchan Woo and Hoi-Jun Yoo
26
  Gigabit Throughput CMOS ICs for Optical Interconnection Applications
SSDM 2002
  Hoi-Jun Yoo
25
  A 4-Gb/s Clock and Data Recovery Circuit Using Four-Phase 1/8-Rate Clock
ESSCIRC 2002
  Seong-Jun Song, Jaeseo Lee, Sung-Min Park and Hoi-Jun Yoo
24
  Low Power MPEG-4 Video Codec Hardware for Portable Applications
CoolChips 2002
  Chi-Weon Yoon and Hoi-Jun Yoo
23
  A Multichip-on-Oxide 1.0Gb/s 80dB¥Ø Fully-Differential CMOS Transimpedance Amplifier for Optical Interconnect Applications
ISSCC 2002
  Jaeseo Lee, Seong-Jun Song, Sung Min Park, Choong-Mo Nam, Young-Se Kwon and Hoi-Jun    Yoo
22
  Embedded DRAM (eDRAM) Power-Energy Estimation for System-on-a-Chip (SoC) Applications
ASP-DAC 2002
  Yong-Ha Park, Jeonghoon Kook and Hoi-Jun Yoo
2001
21
  SOC Design Approaches Optimized for VLSI Fabrication Technologies
SCI 2001 ISAS 2001
¡¡
  Se-Jeong Park, Chi-Weon Yoon and Hoi-Jun Yoo
20
  120mW Embedded 3D Graphics Rendering Engine with 64Mb Logically Local Frame Buffer and 3.2GByte/s Run-time Reconfigurable Bus for PDA-Chip
SOVC 2001
  Ramchan Woo, Chi-Weon Yoon, Jeonghoon Kook, Se-Joong Lee, Kangmin Lee, Yong-Ha Park and Hoi-Jun Yoo
19
  Low Power Motion Compensation Block IP with embedded DRAM Macro for Portable Multimedia Applications
SOVC 2001
  Chi-Weon Yoon, Jeonghoon Kook, Ramchan Woo, Se-Joong Lee, Kangmin Lee and Hoi-Jun Yoo
18
  480ps 64-bit Race Logic Adder
SOVC 2001
  Se-Joong Lee, Ramchan Woo and Hoi-Jun Yoo
17
  A Reconfigurable Multilevel Parallel Graphics Cache Memory with75 GB/s Parallel Cache Replacement Bandwidth
SOVC 2001
  Se-Jeong Park, Jeong-Su Kim, Ramchan Woo, Se-Joong Lee, Kang-Min Lee, Tae-Hum Yang,  Jin-Yong Jung and Hoi-Jun Yoo
16
  Single Chip 3D Rendering Engine Integrating Embedded DRAM Frame Buffer and Hierarchical Octet Tree (HOT) Array Processor with Bandwidth Amplification
ASP-DAC 2001
  Yong-Ha Park, Sun-Ho Han and Hoi-Jun Yoo (The outstanding Design    Award)
15
  80/20MHz 160mW Multimedia Processor integrated with Embedded DRAM MPEG-4 Accelerator 3D Rendering Engine for Mobile  Applications
ISSCC 2001
  Chi-Weon Yoon, Ramchan Woo, Jeonghoon Kook, Se-Joong Lee, Kangmin Lee, Young-Don Bae,  In-Cheol Park and Hoi-Jun Yoo
14
  A Comparative Analysis of a DDR-SDRAM, a D-RDRAM and a DDR- FCRAM Using a POPeye Simulator
ISCAS 2001
  Kangmin Lee, Chi-Weon Yoon, Ramchan Woo, Jeonghoon Kook and Hoi-Jun Yoo
13
  Design and Implementation of CMOS LVDS 2.5Gb/s Transmitter and 1.3Gb/s Receiver for Optical Interconnections
ISCAS 2001
  Jaeseo Lee, Jae-Won Lim, Sung-Jun Song, Sung-Sik Song, Wang-Joo Lee and Hoi-Jun Yoo
2000
12
  A 7.1GB/s Low Power 3D Rendering Engine in 2D Array Embedded Memory Logic CMOS
ISSCC 2000
  Yong-Ha Park, Sun-Ho Han, Jung-Su Kim, Se-Joong Lee, Jeong-Hun Kook, Jae-Won Lim, Ramchan Woo, Hoi-Jun Yoo, Jeong-Hwan Lee and Jay-Hun Lee
11
  A Novel High Speed Low Power Logic Family : Race Logic
ESSCIRC 2000
  Se-Joong Lee and Hoi-Jun Yoo
10
  A Single Bit line Writng Scheme for Low Power Reconfigurable I/O DRAM Macro
ESSCIRC 2000
  Jeonghon Kook and Hoi-Jun Yoo
9
  One chip - low power Digital-TCXO with Sub-ppm Accuracy
ISCAS 2000
  Se-Joong Lee, Jin-Ho Han, Seung-Ho Hank, Joe-Ho Lee, Jung-Su Kim, Min-Kyu Je and Hoi-Jun Yoo
8
  A 670ps, 64bit Dynamic Low-Power Adder Design
ISCAS 2000
  Ramchan Woo, Se-Joong Lee and Hoi-Jun Yoo
7
  POPeye: A System Analysis Tool for DRAM Performance Measurement
ICVC 2000
  Yon-Kyun Im, Chi-Weon Yoon, Hoi-Jun Yoo and Tae-Sung Jung
6
  A 330MHz Low Jitter Fast Locking Direct Skew Compensation DLL
ISSCC 2000
  Joo-Ho Lee, Seon-Ho Han and Hoi-Jun Yoo
1999
5
  A VPM(Virtual Pipelined Memory) Architecture for a Fast Row-Cycle DRAM
APASIC 1999
  Chi-Weon Yoon, Yon-Kyun Im, Seon-Ho Han, Hoi-Jun Yoo and Tae-Sung Jung
4
  7.1GB/s Bandwidth 3D Rendering Engine Using the EML Technology
ICVC 1999
  Yong-Ha Park, Ramchan Woo, Seon-Ho Han, Jung-Su Kim, Se-Joong Lee, Jeong-Hoon Kook, Jae-Won Lim and Hoi-Jun Yoo
3
  A Fast Lock-On Time Mixed Mode DLL With 10ps Jitter
ICVC 1999
  Seon-Ho Han, Joo-Ho Lee and Hoi-Jun Yoo
2
  The CMOS Temperature Sensor and Cyclic ADC For Low Power Single Chip DTCXO
ICVC 1999
  Joo-Ho Lee, Seon-Ho Han and Hoi-Jun Yoo
1
  A Fast Synchronous Pipelined DRAM (SP-DRAM) Architecture with SRAM Buffers
ICVC 1999
  Chi-Weon Yoon, Yon-Kyun Im, Seon-Ho Han, Hoi-Jun Yoo and Tae-Sung Jung
Abbreviated Name Full Name
APCCAS    IEEE Asia-Pacific Conference on Circuits and Systems
APMC    Asia-Pacific Microwave Conference
ASP-DAC    IEEE Asia South Pacific Design Automation Conference
A-SSCC    IEEE Asian Solid-State Circuits Conference
BodyNets    International Conference on Body Area Sensor Network
BSN    International Workshop on Wearable and Implantable Body Sensor Networks
CICC    IEEE Custom Integrated Circuits Conference
COOL Chips    IEEE Symposium on Low-Power and High-Speed Chips
DATE    IEEE Design, Automation and Test in Europe
EMBC    International Conference of the IEEE Engineering in Medicine and Biology   Society
ESSCIRC    IEEE European Solid-State Circuits Conference
ICVC    IEEE International Conference on VLSI and CAD
ICVS    International Conference on Computer Vision Systems
ISCAS    IEEE International Symposium on Circuit and Systems
ISSCC    IEEE International Solid-State Circuits Conference
ISWC    IEEE International Symposium on Wearable Computers
NOCS    IEEE International Symposium on Networks-on-Chips
SOVC    IEEE Symposium on VLSI Circuits
SSDM    IEEE International Conference on Solid State Devices and Materials
BioCAS    IEEE International Conference on Biomedical Circuits and Systems

#1233, School of Electrical Engineering, KAIST, 291 Daehak-ro (373-1 Guseong-dong), Yuseong-gu,
Daejeon 34141, Republic of Korea / Tel. +82-42-350-8068 / Fax. +82-42-350-3410 / Mail: sslmaster@kaist.ac.kr
Copyright (C) 2017, SEMICONDUCTOR SYSTEM LAB., All Rights Reserved.