Home > Publication > International Paper > Journal
No.
Title
Publication
Down
Authors
2019
 141
 A 0.8-V 82.9-μW In-Ear BCI Controller IC With 8.8 PEF EEG Instrumentation Amplifier and Wireless BAN Transceiver
JSSC 2019
 Jaehyuk Lee, Kyoung-Rog Lee, Unsoo Ha, Ji-Hoon Kim, Kwonjoon Lee, Surin Gweon, Jaeeun Jang, and Hoi-Jun Yoo
2018
 140
 A Low-Power Deep Neural Network Online Learning Processor for Real-Time Object Tracking Application
TCAS-I 2018
 Donghyeon Han, Jinsu Lee, Jinmook Lee, and Hoi-Jun Yoo
 139
 A Four-Camera VGA-Resolution Capsule Endoscope System With 80-Mb/s Body Channel Communication Transceiver and Sub-Centimeter Range Capsule Localization
JSSC 2018
  Jaeeun Jang, Jihee Lee, Kyoung-Rog Lee, Jiwon Lee, Minseo Kim, Yongsu Lee, Joonsung Bae, and Hoi-Jun Yoo
 138
 DNPU: An Energy-Efficient Deep Learning Processor with Heterogeneous Multi-Core Architecture
MICRO 2018
  Dongjoo Shin, Jinmook Lee, Jinsu Lee, Juhyoung Lee, and Hoi-Jun Yoo
 137
 UNPU: An Energy-Efficient Deep Neural Network Accelerator with Fully-Variable Weight Bit Precision
JSSC 2018
  Jinmook Lee, Changhyeon Kim, Sanghoon Kang, Dongjoo Shin, Sangyeob Kim and Hoi-Jun Yoo
 136
 Low-Power Scalable 3-D Face Frontalization Processor for CNN-based Face Recognition in Mobile Devices
JETCAS 2018
  Sanghoon Kang, Jinmook Lee, Kyeongryeol Bong, Changhyeon Kim, Youchang Kim, and Hoi-Jun Yoo
 135
 An EEG-NIRS Multimodal SoC for Accurate Anesthesia Depth Monitoring
JSSC 2018
  Unsoo Ha, Jaehyuk Lee, Minseo Kim, Taehwan Roh, Sangsik Choi, and Hoi-Jun Yoo
 134
  A Low-Power Convolutional Neural Network Face Recognition Processor and a CIS Integrated With Always-on Face Detector
JSSC 2018
  Kyeongryeol Bong, Sungpill Choi, Changhyeon Kim, Donghyeon Han, and Hoi-Jun Yoo
 133
 A 0.55 V 1.1 mW Artificial Intelligence Processor with On-chip PVT Compensation for Autonomous Mobile Robots
TCAS-I 2018
  Youchang Kim, Dongjoo Shin, Jinsu Lee, Yongsu Lee, and Hoi-Jun Yoo
2017
 132
  Low-Power Convolutional Neural Network Processor for a Face-Recognition System
MICRO 2017
  Kyeongryeol Bong, Sungpill Choi, Changhyeon Kim, and Hoi-Jun Yoo
 131
 80 レW/MHz, 850 MHz Fault Tolerant Processor with Fault Monitor Systems
JSTS 2017
  Jinho Han, Youngsu Kwon, Kyeongsun Shin, and Hoi-Jun Yoo
 130
 0.025mJ/Image Fast-scan and SNR Enhanced Electrical Impedance Tomography IC for Lung Ventilation Monitoring
JSTS 2017
  Jaehyuk Lee, Unsoo Ha and Hoi-Jun Yoo
 129
 A 55.77 レW Bio-impedance Sensor with 276 レs Settling Time for Portable Blood Pressure Monitoring System
JSTS 2017
  Kwantae Kim , Minseo Kim , Hyunwoo Cho , Kwonjoon Lee, and Hoi-Jun Yoo
 128
 A 206.3 レW Non-contact Compensation IC for Body Channel Communication
JSTS 2017
  Kyoung-Rog Lee, Jaeeun Jang, and Hoi-Jun Yoo
 127
 A 48 レW, 8.88 mW/W Batteryless Wirelessly Power Transferred Body Channel Communication Identification System
JSTS 2017
  Jihee Lee, Yongsu Lee, Hyungwoo Cho, and Hoi-Jun Yoo
 126
 A Memory-efficient Hand Segmentation Architecture for Hand Gesture Recognition in Low-power Mobile Devices
JSTS 2017
  Sungpill Choi, Seongwook Park, and Hoi-Jun Yoo
 125
 A 1.4-mΩ-Sensitivity 94-dB Dynamic-Range Electrical Impedance Tomography SoC and 48-Channel Hub-SoC for 3-D Lung Ventilation Monitoring System
JSSC 2017
  Minseo Kim, Hyunki Kim, Jaeeun Jang, Jihee Lee, Jaehyuk Lee, Jiwon Lee, Kyungrog Lee, Kwantae Kim, Yongsu Lee, Kyuho Jason Lee, and Hoi-Jun Yoo
 124
 A 82nW Chaotic-Map True Random Number Generator Based on a Sub-Ranging SAR ADC
JSSC 2017
  Minseo Kim, Unsoo Ha, Kyuho Lee, Yongsu Lee, and Hoi-Jun Yoo
 123
 BRAIN: A Low-Power Deep Search Engine for Autonomous Robots
MICRO 2017
  Youchang Kim, Dongjoo Shin, Jinsu Lee, and Hoi-Jun Yoo
 122
 A 17.5 fJ/bit Energy-efficient Analog SRAM for Mixed-signal Processing
TVLSI 2017
  Jinsu Lee, Dongjoo Shin, Youchang Kim, and Hoi-Jun Yoo
 121
 Sticker-Type Hybrid Photoplethysmogram Monitoring System Integrating CMOS IC With Organic Optical Sensors
JETCAS 2017
  Yongsu Lee, Hyeonwoo Lee, Jaeeun Jang, Jihee Lee, Minseo Kim, Jaehyuk Lee, Hyunki Kim, Seunghyup Yoo, and Hoi-Jun Yoo
 120
 A 502-GOPS and 0.984-mW Dual-Mode Intelligent ADAS SoC With Real-Time Semiglobal Matching and Intention Prediction for Smart Automotive Black Box System
JSSC 2017
  Kyuho Jason Lee, Kyeongryeol Bong, Changhyeon Kim, Jaeeun Jang, Kyoung-Rog Lee, Jihee Lee, Gyeonghoon Kim, and Hoi-jun Yoo
2016
 119
 A CMOS Image Sensor-Based Stereo Matching Accelerator With Focal-Plane Sparse Rectification and Analog Census Transform
TCAS-I 2016
  Changhyeon Kim, Kyeongryeol Bong, Sungpill Choi, Kyuho Jason Lee, and Hoi-jun Yoo
 118
 A Fault Tolerant Cache System of Automotive Vision Processor Complying With ISO26262
TCAS-II 2016
  Jinho Han, Youngsu Kwon, Kyeongjin Byun, and Hoi-jun Yoo
 117
 Wrist Watch-type Cardiovascular Monitoring System using Concurrent ECG and APW Measurement
JSTS 2016
  Kwonjoon Lee, Kiseok Song, Taehwan Roh, and Hoi-jun Yoo
 116
 The effects of electrode impedance on receiver sensitivity in body channel communication
Microelectronics
Journal 2016
  Joonsung Bae and Hoi-Jun Yoo
 115
 An Energy-Efficient Embedded Deep Neural Network Processor for High Speed Visual Attention in Mobile Vision Recognition SoC
JSSC 2016
  Seongwook Park, Injoon Hong, Junyoung Park, and Hoi-Jun Yoo
 114
 A 95% accurate EEG-connectome Processor for a Mental Health Monitoring System
JSTS 2016
  Hyunki Kim, Kiseok Song, Taehwan Roh, and Hoi-Jun Yoo
 113
 A 540-レW Duty Controlled RSSI with Current Reusing Technique for Human Body Communication
TBioCAS 2016
  Jaeeun Jang, Yongsu Lee, Hyunwoo Cho, and Hoi-Jun Yoo
 112
 An Energy-efficient Speech Extraction Processor for Robust User Speech Recognition in Mobile Head-mounted Display Systems
TCAS-II 2016
  Jinmook Lee, Seongwook Park, Injoon Hong, and Hoi-Jun Yoo
 111
 A 0.5‘ Error 10 mW CMOS Image Sensor-Based Gaze Estimation Processor
JSSC 2016
  Kyeongryeol Bong, Injoon Hong, Gyeonghoon Kim, and Hoi-Jun Yoo
 110
 A 0.5 V 54 μW Ultra-Low-Power Object Matching Processor for Micro Air Vehicle Navigation
TCAS-I 2016
  Youchang Kim, Injoon Hong, Junyoung Park, and Hoi-Jun Yoo
 109
 A 259.6μW HRV-EEG Processor With Nonlinear Chaotic Analysis During Mental Tasks
TBioCAS 2016
  Taehwan Roh, Sunjoo Hong, Hyunwoo Cho, and Hoi-Jun Yoo
 108
 A 79 pJ/b 80 Mb/s Full-Duplex Transceiver and a 42.5 μW 100 kb/s Super-Regenerative Transceiver for Body Channel Communication
JSSC 2016
  Hyunwoo Cho, Hyunki Kim, Minseo Kim, Jaeeun Jang, Yongsu Lee, Kyuho Jason Lee, Joonsung Bae, and Hoi-Jun Yoo
 107
 A 2.71 nJ/Pixel Gaze-Activated Object Recognition System for Low-Power Mobile Smart Glasses
JSSC 2016
  Injoon Hong, Kyeongryeol Bong, Dongjoo Shin, Seongwook Park, Kyuho Jason Lee, Youchang Kim, and Hoi-Jun Yoo
2015
 106
An Energy-Efficient and Scalable Deep Learning/Interference Processor With Tetra-Parallel MIMD Architecture for Big Data Application
TBioCAS 2015
 Seongwook Park, Junyoung Park, Kyeongryeol Bong, Dongjoo Shin, Jinmook Lee, Sungpill Choi, and Hoi-Jun Yoo
 105
A Wearable EEG-HEG-HRV Multimodal System With Simultaneous Monitoring of tES for Mental Health Management
TBioCAS 2015
  Unsoo Ha, Yongsu Lee, Hyunki Kim, Taehwan Roh, Joonsung Bae, Changhyeon Kim, and Hoi-Jun Yoo
 104
 A 5.2 mW IEEE 802.15.6 HBC Standard Compatible Transceiver With Power Efficient Delay-Locked-Loop Based BPSK Demodulator
JSSC 2015
  Hyunwoo Cho, Hyungwoo Lee, Joonsung Bae, and Hoi-Jun Yoo
 103
 A 27 mW Reconfigurable Marker-Less Logarithmic Camera Pose Estimation Engine for Mobile Augmented Reality Processor
JSSC 2015
  Injoon Hong, Gyeonghoon Kim, Youchang Kim, Donghyun Kim, Byeong-Gyu Nam, and Hoi-Jun Yoo
 102
 A 10.4 mW Electrical Impedance Tomography SoC for Portable Real-Time Lung Ventilation Monitoring System
JSSC 2015
  Sunjoo Hong, Jaehyuk Lee, Joonsung Bae, and Hoi-Jun Yoo
 101
 A 45μW Injection-Locked FSK Wake-Up Receiver With Frequency-to-Envelope Conversion for Crystal-Less Wireless Body Area Network
JSSC 2015
  Joonsung Bae and Hoi-Jun Yoo
 100
 The Effects of Electrode Configuration on Body Channel Communication Based on Analysis of Vertical and Horizontal Electric Dipoles
TMTT 2015
  Joonsung Bae and Hoi-Jun Yoo
 99
 A Vocabulary Forest Object Matching Processor With 2.07 M-Vector/s Throughput and 13.3 nJ/Vector Per-Vector Energy for Full-HD 60 fps Video Object Recognition
JSSC 2015
  Kyuho Jason Lee, Gyeonghoon Kim, Junyoung Park, and Hoi-Jun Yoo
 98
 An Impedance and Multi-Wavelength Near-Infrared Spectroscopy IC for Non-Invasive Blood Glucose Estimation
JSSC 2015
  Kiseok Song, Unsoo Ha, Seongwook Park, Joonsung Bae, and Hoi-Jun Yoo
 97
 A 4.9 mз-Sensitivity Mobile Electrical Impedance Tomography IC for Early Breast-Cancer Detection System
JSSC 2015
  Sunjoo Hong, Kwonjoon Lee, Unsoo Ha, Hyunki Kim, Yongsu Lee, Youchang Kim, and Hoi-Jun Yoo
 96
 A 1.22 TOPS and 1.52 mW/MHz Augmented Reality Multi-Core Processor with Neural Network NoC for HMD Applications
JSSC 2015
  Gyeonghoon Kim, Kyuho Lee, Youchang Kim, Seongwook Park, Injoon Hong, Kyeongryeol Bong,
and Hoi-Jun Yoo
2014
 95
 A Wearable Nuero-Feedback System With EEG-Based Mental Status Monitoring and Transcranial Electrical Stimulation
TBioCAS 2014
  Taehwan Roh, Kiseok Song, Hyunwoo Cho, Dongjoo Shin, and Hoi-Jun Yoo
 94
 An Augmented Reality Processor with a Congestion-Aware Network-on-Chip Scheduler
MICRO 2014

  Gyeonghoon Kim, Donghyun Kim, Seongwook Park, Youchang Kim, Kyuho Lee, Injoon Hong,
Kyeongryeol Bong, and Hoi-Jun Yoo

 93
 Intelligent Network-on-Chip With Online Reinforcement Learning for Portable HD Object Recognition Processor
TCAS-I 2014
  Junyoung Park, Injoon Hong, Gyeonghoon Kim, Byeong-Gyu Nam, and Hoi-Jun Yoo
 92
 An 87mA,min Iontophoresis Controller IC With Dual-Mode Impedance Sensor for Patch-Type Transdermal Drug Delivery System
JSSC 2014
  Kiseok Song, Unsoo Ha, Jaehyuk Lee, Kyeongryeol Bong, and Hoi-Jun Yoo
2013
 91
 A 57mW 12.5レJ/epoch Embedded Mixed-mode Neuro-Fuzzy Processor for Mobile Real-time Object Recognition
JSSC 2013
  Jinwook Oh, Gyeonghoon Kim, Byeong-Gyu Nam, and Hoi-Jun Yoo
 90
 An 86mW 98GOPS ANN-Searching Processor for Full-HD 30fps Video Object Recognition with Zeroless Locality-Sensitive Hashing
JSSC 2013
  Gyeonghoon Kim, Jinwook Oh, Seungjin Lee, and Hoi-Jun Yoo
 89
 A 37.5μW Body Channel Communication Wake-up Receiver with Injection-locking Ring Oscillator for Wireless Body Area Network
TCAS-I 2013
  Hyunwoo Cho, Joonsung Bae, and Hoi-Jun Yoo
 88
 Low Power and Self-Reconfigurable WBAN Controller for Continuous Bio-Signal Monitoring System
TBioCAS 2013
  Seulki Lee and Hoi-Jun Yoo
 87
 Your Heart on Your Sleeve: Advances in Textile-Based Electronics Are Weaving Computers Right into the Clothes We Wear
SSCS Magazine
2013
  Hoi-Jun Yoo
 86
 A 320mW 342GOPS Real-Time Dynamic Object Recognition Processor for HD 720p Video Streams
JSSC 2013
  Jinwook Oh, Gyeonghoon Kim, Junyoung Park, Injoon Hong, Seungjin Lee, Joo-Young Kim, Jeong-Ho Woo,
  and Hoi-Jun Yoo
2012
 85
 A Sub-10 nA DC-Balanced Adaptive Stimulator IC With Multi-Modal Sensor for Compact Electro-Acupuncture Stimulation
TBioCAS 2012
  Kiseok Song, Hyungwoo Lee, Sunjoo Hong, Hyunwoo Cho, Unsoo Ha, and Hoi-Jun Yoo
 84
 Low-Power, Real-Time Object Recognition Processor for Mobile Vision Systems
MICRO 2012
  Jinwook Oh, Gyeonghoon Kim, Junyoung Park, Injoon Hong, Seungjin Lee, Joo-Young Kim, Jeong-Ho Woo,
  and Hoi-Jun Yoo
 83
 A Low Energy Crystal-Less Double-FSK Sensor Node Transceiver for Wireless Body-Area-Network
JSSC 2012
  Joonsung Bae, Kiseok Song, Hyungwoo Lee, Hyunwoo Cho, and Hoi-Jun Yoo
 82
 A 92mW Real-Time Traffic Sign Recognition System with Robust Illumination Adaptation and Support Vector Mahcine
JSSC 2012
  Junyoung Park, Joonsoo Kwon, Jinwook Oh, Seungjin Lee, Joo-Young Kim, and Hoi-Jun Yoo
 81
 A 1.2-mW Online Learning Mixed-mode Intelligent Inference Engine for Low Power Real-time Object Recognition Processor
TVLSI 2012
  Jinwook Oh, Seunjin Lee, and Hoi-Jun Yoo
 80
 The Signal Transmission Mechanism on the Surface of Human Body for Body Channel Communication
TMTT 2012
  Joonsung Bae, Hyunwoo Cho, Kiseok Song, Hyungwoo Lee, and Hoi-Jun Yoo
 79
 A 75レW Real-Time Scalable Body Area Network Controller and a 25レW ExG Sensor IC for Compact Sleep Monitoring Applications
JSSC 2012
  Seulki Lee, Long Yan, Taehwan Roh, Sunjoo Hong, and Hoi-Jun Yoo
 78
 A 0.24-nJ/b Wireless Body-Area-Network Transceiver With Scalable Double-FSK Modulation
JSSC 2012
  Joonsung Bae, Kiseok Song, Hyungwoo Lee, Hyunwoo Cho, and Hoi-Jun Yoo
2011
 77
 A Wirelessly Powered Electro-Acupuncture Based on Adaptive Pulsewidth Monophase Stimulation
TBioCAS 2011
  Kiseok Song, Long Yan, Seulki Lee, Jerald Yoo, and Hoi-Jun Yoo
 76
 A Low Energy Injection-Locked FSK Transceiver With Frequency-to-Amplitude Conversion for Body Sensor Applications
JSSC 2011
  Joonsung Bae, Long Yan, and Hoi-Jun Yoo
 75
 A 40fJ/c-s 1V 10 bit SAR ADC with Dual Sampling Capacitive DAC Topology
JSTS 2011
  Binhee Kim, Long Yan, Jerald Yoo, and Hoi-Jun Yoo
 74
 24-GOPS 4.5-mm2 Digital Cellular Neural Network for Rapid Visual Attention in an Object-Recognition SoC
TNN 2011
  Seungjin Lee, Minsu Kim, Kwanho Kim, Joo-Young Kim, and Hoi-Jun Yoo
 73
 A 3.9mW 25-Electrode Reconfigured Sensor for Wearable Cardiac Monitoring System
JSSC 2011
  Long Yan, Joonsung Bae, Seulki Lee, Taehwan Roh, Kiseok Song, and Hoi-Jun Yoo
 72
 A 345mW Heterogeneous Many-Core Processor With an Intelligent Inference Engine for Robust Object Recognition
JSSC 2011
  Seungjin Lee, Jinwook Oh, Junyoung Park, Joonsoo Kwon, Minsu Kim, and Hoi-Jun Yoo
2010
 71
 A Low-Power Portable ECG Touch Sensor with Two Dry Metal Contact Electrodes
JSTS 2010
  Long Yan and Hoi-Jun Yoo
 70
 A Low-Energy Inductive Coupling Transceiver With Cm-Range 50-Mbps Data Communication in Mobile Device Applications
JSSC 2010
  Seulki Lee, Kiseok Song, Jerald Yoo, and Hoi-Jun Yoo
 69
 A 0.5-レVrms 12-レW Wirelessly Powered Patch-Type Healthcare Sensor for Wearable Body Sensor Network
JSSC 2010
  Long Yan, Jerald Yoo, Binhee Kim, and Hoi-Jun Yoo
 68
 A 4.78レs Dynamic Compensated Inductive Coupling Transceiver for Ubiquitous and Wearable Body Sensor Network
IEICE TC 2010
  Seulki Lee, Jerald Yoo, and Hoi-Jun Yoo
 67
 A 118.4GB/s Multi-Casting Network-on-Chip With Hierarchical Star-Ring Combined Topology for Real-Time Object Recognition
JSSC 2010
  Joo-Young Kim, Junyoung Park, Seungjin Lee, Minsu Kim, Jinwook Oh, and Hoi-Jun Yoo
 66
 Visual Image Processing RAM: Memory Architecture with 2-D Data Location Search and Data Consistency Management for a Multicore Object Recognition Processor
TCSVT 2010
  Joo-Young Kim, Donghyun Kim, Seungjin Lee, Kwanho Kim, and Hoi-Jun Yoo
 65
 An attention controlled multi-core architecture for energy efficient object recognition
SP-IC 2010
  Joo-Young Kim, Sejong Oh, Seungjin Lee, Minsu Kim, Jinwook Oh, and Hoi-Jun Yoo
 64
 Familiarity based unified visual attention model for fast and robust object recognition
PR 2010
  Seungjin Lee, Kwanho Kim, Joo-Young Kim, Minsu Kim, and Hoi-Jun Yoo
 63
 Electrical Characterization of Screen-Printed Circuits on the Fabric
TADVP 2010
  Yongsang Kim, Hyejung Kim, and Hoi-Jun Yoo
 62
 ECG Signal Compression and Classification Algorithm With Quad Level Vector for ECG Holter System
TITB 2010
  Hyejung Kim, Refet Firat Yazicioglu, Patrick Merken, Chris Van Hoof, and Hoi-Jun Yoo
61
 A 5.2mW Self-Configured Wearable Body Sensor Network Controller and a 12レW 54.9% Efficiency Wirelessly Powered Sensor for Continuous Health Monitoring System
JSSC 2010
  Jerald Yoo, Long Yan, Seulki Lee , Yongsang Kim, Hyejung Kim, Binhee Kim, and Hoi-Jun Yoo
 60
 A 201.4GOPS 496mW Real-Time Multi-Object Recognition Processor With Bio-Inspired Neural Perception Engine
JSSC 2010
  Joo-Young Kim, Minsu Kim, Seungjin Lee , Jinwook Oh, Kwanho Kim, and Hoi-Jun Yoo
2009
 59
 A 10.8mW Body Channel Communication/MICS Dual-Band Transceiver for a Unified Body Sensor Network Controller
JSSC 2009
  Namjun Cho, Joonsung Bae, and Hoi-Jun Yoo
58
 A Configurable Heterogeneous Multicore Architecture with Cellular Neural Network for Real-Time Object Recognition
TCSVT 2009
  Kwanho Kim, Seungjin Lee, Joo-Young Kim, Minsu Kim, and Hoi-Jun Yoo
  57
 Real-Time Object Recognition with Neuro-Fuzzy Controlled Workload-Aware Task Pipelining
MICRO 2009
  Joo-Young Kim, Minsu Kim, Seungjin Lee, Jinwook Oh, Sejong Oh, and Hoi-Jun Yoo
  56
 A 1.12 pJ/b Inductive Transceiver With a Fault-Tolerant Network Switch for Multi-Layer Wearable Body Area Network Applications
JSSC 2009
  Jerald Yoo, Seulki Lee, and Hoi-Jun Yoo
  55
 A Wearable ECG Acquisition System With Compact Planar-Fashionable Circuit Board-Based Shirt
TITB 2009
  Jerald Yoo, Long Yan, Seulki Lee, Hyejung Kim, and Hoi-Jun Yoo
  54
 Planar Fashionable Circuit Board Technology and Its Applications
JSTS 2009
  Seulki Lee, Binhee Kim, and Hoi-Jun Yoo
  53
 A Planar MICS Band Antenna Combined With a Body Channel Communication Electrode for Body Sensor Network
TMTT 2009
  Namjun Cho, Taehwan Roh, Joonsung Bae, and Hoi-Jun Yoo
 52
 A 152-mW Mobile Multimedia SoC With Fully Programmable 3-D Graphics and MPEG4/H.264/JPEG
TVLSI 2009
  Jeong-Ho Woo, Ju-Ho Sohn, Hyejung Kim, and Hoi-Jun Yoo
 51
 A Low-Power Multimedia SoC with Fully Programmable 3D Graphics for Mobile Devices
CG&A 2009
  Jeong-Ho Woo, Ju-Ho Shon, Hyejung Kim, and Hoi-Jun Yoo
 50
 Memory-centric network-on-chip for power efficient execution of task-level pipeline on a multi-core processor
IET CDT 2009
  Donghyun Kim, Kwanho Kim, Joo-Young Kim, Seungjin Lee, Se-Joong Lee, and Hoi-Jun Yoo
  49
 An Embedded Stream Processor Core Based on Logarithmic Arithmetic for a Low-Power 3-D Graphics SoC
JSSC 2009
  Byeong-Gyu Nam, and Hoi-Jun Yoo
  48
 A 200-Mbps 0.02-nJ/b Dual-Mode Inductive Coupling Transceiver for cm-Range Multimedia Application
TCAS-I 2009
  Seulki Lee, Jerald Yoo, and Hoi-Jun Yoo
  47
 81.6GOPS Object Recognition Processor Based on a Memory-Centric NoC
TVLSI 2009
  Donghyun Kim, Kwanho Kim, Joo-Young Kim, Seungjin Lee, Se-Joong Lee, and Hoi-Jun Yoo
  46
 A 60kb/s-10Mb/s Adaptive Frequency Hopping Transceiver for Interference-Resilient Body Channel Communication
 JSSC 2009
  Namjun Cho, Long Yan, Joonsung Bae, and Hoi-Jun Yoo
  45
 A 125GOPS 583mW Network-on-Chip Based Parallel Processor With Bio-Inspired Visual Attention Engine
 JSSC 2009
  Kwanho Kim, Seungjin Lee, Joo-Young Kim, Minsu Kim, and Hoi-Jun Yoo
2007 ~ 2008
  44
 A 195mW, 9.1MVerices/s Fully Programmable 3-D Graphics Processor for Low-Power Mobile Devices
 JSSC 2008
  Jeong-Ho Woo, Ju-Ho Sohn, Hyejung Kim, and Hoi-Jun Yoo
  43
 A 19mW/152 mW Mobile Multimedia SoC With Fully Programmable 3-D Graphics and MPEG4/H.264/JPEG
 JSSC 2008
  Jeong-Ho Woo, Ju-Ho Sohn, Hyejung Kim, and Hoi-Jun Yoo
  42
 Power and Area-Efficient Unified Computation of Vector and Elementary Functions for Handheld 3D Graphics Systems
 TOC 2008
  Byeong-Gyu Nam, Hyejung Kim, and Hoi-Jun Yoo
  41
 Cost-effective low-power graphics processing unit for handheld devices
 MCOM 2008
  Byeong-Gyu Nam, Jeabin Lee, Kwanho Kim, Seungjin Lee, and Hoi-Jun Yoo
  40
  A Fully Integrated Digital Hearing Aid Chip With Human Factors Considerations
  JSSC 2008
  Sunyoung Kim, Seung Jin Lee, Namjun Cho, Seong-Jun Song, and Hoi-Jun Yoo
  39
  A 0.9V 96μW Fully Operational Digital Hearing Aid Chip
  JSSC 2007
  Sunyoung Kim, Namjun Cho, Seong-Jun Song, and Hoi-Jun Yoo
  38
  A 0.2-mW 2-Mb/s Digital Transceiver Based on Wideband Signaling for Human Body Communications
  JSSC 2007
  Seong-Jun Song, Namjun Cho, and Hoi-Jun Yoo
  37
  A Low-Power Unified Arithmetic Unit for Programmable Handheld 3-D Graphics Systems
  JSSC 2007
  Byeong-Gyu Nam, Hyejung Kim, and Hoi-Jun Yoo
  36
  The Human Body Characteristics as a Signal Transmission Medium for Intrabody Communication
  TMTT 2007
  Namjun Cho, Jerald Yoo, Seong-Jun Song, Jeabin Lee, Seonghyun Jeon, and Hoi-Jun Yoo
2006
  35
  A 231-MHz, 2.18-mW 32-bit Logarithmic Arithmetic Unit for Fixed-Point 3-D Graphics System
  JSSC 2006
  Hyejung Kim, Byeong-Gyu Nam, Ju-Ho Sohn, Jeong-Ho Woo, and Hoi-Jun Yoo
  34
  A 155-mW 50-Mvertices/s Graphics Processor With Fixed-Point Programmable Vertex Shader for Mobile Applications
  JSSC 2006
  Ju-Ho Sohn, Jeong-Ho Woo, Min-Wuk Lee, Hye-Jung Kim, Ramchan Woo, and Hoi-Jun Yoo
  33
  An Energy-Efficient Analog Front-End Circuit for a Sub-1-V Digital Hearing Aid Chip
  JSSC 2006
  Sunyoung Kim, Jae-Youl Lee, Seong-Jun Song, Namjun Cho, and Hoi-Jun Yoo
  32
  An Autonomous SRAM With On-Chip Sensors in an 80-nm Double Stacked Cell Technology
  JSSC 2006
  Kyomin Sohn, Hyun-Sun Mo, Young-Ho Suh, Hyun-Geun Byun, and Hoi-Jun Yoo
  31
  Low-Power Network-on-Chip for High-Performance SoC Design
  TVLSI 2006
  Kangmin Lee, Se-Joong Lee, and Hoi-Jun Yoo
  30
  A Regulated Charge Pump With Small Ripple Voltage and Fast Start-Up
  JSSC 2006
  Jae-Youl Lee, Sung-Eun Kim, Seong-Jun Song, Jin-Kyung Kim, Sunyoung Kim, and Hoi-Jun Yoo
2004 ~ 2005
  29
  Low Power 3D Graphics Processors for Mobile Terminals
  CM 2005
  Ju-Ho Sohn, Yong-Ha Park, Chi-Weon Yoon, Ramchan Woo, Se-Jeong Park, and Hoi-Jun Yoo
  28
  Development of a 3-D Graphics Rendering Engine with Lighting Acceleration for Handheld Multimedia Systems
  CE 2005
  Byeong-Gyu Nam, Min-Wuk Lee, and Hoi-Jun Yoo
  27
  Analysis and Implementation of Practical Cost-Effective Network-on-Chips
  DTC 2005
  Se-Joong Lee, Kangmin Lee, and Hoi-Jun Yoo
  26
  Packet-Switched On-Chip Interconnection Network for System-on-Chip Applications
  TCAS-II 2005
  Se-Joong Lee, Kangmin Lee, Seong-Jun Song, and Hoi-Jun Yoo
  25
  A 0.7-fJ/Bit/Search 2.2-ns Search Time Hybrid-Type TCAM Architecture
  JSSC 2005
  Sungdae Choi, Kyomin Sohn, and Hoi-Jun Yoo
  24
  A Low-Power 3-D Rendering Engine With Two Texture Units and 29-Mb Embedded DRAM for 3G Multimedia Terminals
  JSSC 2004
  Ramchan Woo, Sungdae Choi, Ju-Ho Sohn, Seong-Jun Song, Young-Don Bae, and Hoi-Jun Yoo
  23
  1-Gb/s 80-dBヘ Fully Differential CMOS Transimpedance Amplifier in Multichip on Oxide Technology for Optical Interconnects
  JSSC 2004
  Sung Min Park, Jaeseo Lee, and Hoi-Jun Yoo
  22
  A 210-mW Graphics LSI Implementing Full 3-D Pipeline With 264 Mtexels/s Texturing for Movile Multimedia Applications
  JSSC 2004
  Ramchan Woo, Sungdae Choi, Ju-Ho Sohn, Seong-Jun Song, and Hoi-Jun Yoo
  21
  A1.25-Gb/s Regulated Cascode CMOS Transimpedance Amplifier for Gigabit Ethernet Applications
  JSSC 2004
  Sung Min Park and Hoi-Jun Yoo
2001 ~ 2003
  20
  A 4Gb/s CMOS Clock and Data Recovery Circuit Using 1/8-Rate Clock Technique
  JSSC 2003
  Seong-Jun Song, Sung Min Park, and Hoi-Jun Yoo
  19
  Low Power Motion Estimation and Motion Compensation Block IPs in MPEG-4 Video Codec Hardware for Portable Applications
  IEICE TE 2003
  Chi-Weon Yoon and Hoi-Jun Yoo
  18
  2.5Gbps CMOS transimpedance amplifier for optical communication applications
  EL 2003
  Sung Min Park and Hoi-Jun Yoo
  17
  A 120-mW 3D rendering engine with 6-Mb embedded DRAM and 3.2GB/s runtime reconfigurable bus for PDA chip
  JSSC 2002
  Ramchan Woo, Chi-Weon Yoon, Jeonghoon Koo, Se-Joong Lee, and Hoi-Jun Yoo
  16
  A Reconfigurable Multilevel Parallel Texture Cache Memory With 75-GB/s Parallel Cache Replacement Bandwidth
  JSSC 2002
  Se-Jeong Park, Jeong-Su Kim, Ramchan Woo, Se-Joong Lee, Kangmin Lee, Tae-Hum Yang, Jin-Yong Jung, and Hoi-Jun Yoo
  15
  Embedded DRAM (eDRAM) Power Energy Estimation Using Signal Swing-Based Analytical Model
  IEICE TE 2002
  Yong-Ha Park
  14
  A Bit-Wise Read-Compare-Write Scheme for Low Power Read-Modify-Write Scheme
  EL 2002
  Yong-Ha Park, Sungdae Choi, and Hoi-Jun Yoo
  13
  Race Logic Architecture (RALA): A Novel Logic Concept Using the Race Scheme of Input Variables
  JSSC 2002
  Se-Joong Lee and Hoi-Jun Yoo
  12
  An 80/20-MHz 160-mW Multimedia Processor Integrated with Embedded DRAM, MPEG-4 Accelerator, and 3-D Rendering Engine for Mobile Applications
  JSSC 2001
  Chi-Weon Yoon, Ramchan Woo, Jeonghoon Kook, Se-Joong Lee, Kangmin Lee, and Hoi-Jun Yoo
  11
  Hidden Double Data Transfer Scheme for MDL Design
  EL 2001
  Se-Jeong Park and Hoi-Jun Yoo
  10
  A 7.1GB/s Low Power 3D Rendering Engine in 2D Array Embedded Memory Logic CMOS for Portable Multimedia System
  JSSC 2001
  Yong-Ha Park, Seon-Ho Han, Jeong-Hwan Lee, and Hoi-Jun Yoo
  9
  POPeye: A Simulator for a DRAM Performance Evaluation
  JSTS 2001
  Kangmin Lee, Chi-Weon Yoon, Ramchan Woo, Jeonghoon Kook, and Hoi-Jun Yoo
1996 ~ 1999
  8
  Fast Lock-On Time Mixed Mode DLL With 10ps Jitter
  EL 1999
  Seon-Ho Han, Joo-Ho Lee, and Hoi-Jun Yoo
  7
  Boosted Charge Transfer Preamplifier For Low Power Gb-Scale GRAM Electron
  EL 1998
  Jong-Shik Kim, Hoi-jun Yoo, and Kwang-seok Seo
  6
  Dual VT Self-timed CMOS Logic for Low Subthreshold Current Multi-gigabit Synchronous DRAM
  TCAS-II 1998
  Hoi-Jun Yoo
  5
  A Low Noise Folded Bit-Line Sensing Architecture for Multi-Gb DRAM with Ultra High Density 6F2 Cell
  JSSC 1998
  Jong-Shik Kim, Yu-soo Choi, Hoi-Jun Yoo, and Kwang-seok Seo
  4
  A Study of Pipeline Architectures for High Speed Synchronous DRAM
  JSSC 1997
  Hoi-Jun Yoo
  3
  A Low Voltage High Speed Self-Timed CMOS Logic for the Multi-giga bit Synchronous DRAM Application
  IEICE TE 1997
  Hoi-Jun Yoo
  2
  An Analytical Model for the Effect of Graded Gate Oxide on the Channel Electric Field in MOSFET's with Lightly Doped Drain Structure
  SSE 1997
 
  J.S.Kim, Hoi-Jun Yoo, and K.S. Seo
  1
  High Speed Latchup Resistant CMOS Data Output Buffer for Sub-micron DRAM Application
  EL 1996
  Hoi-Jun Yoo
Abbreviated Name Full Name
CE    IEEE Transactions on Consumer Electronics
CG&A    IEEE Computer Graphics and Applications
CM    IEEE Communications Magazine
DTC    IEEE Design & Test of Computers
EL    IEE Electronics Letters
IEICE TC    IEICE Transactions on Communications
IEICE TE    IEICE Transactions on Electronics
IET CDT    IET Computers & Digital Techniques
JSSC    IEEE Journal of Solid-State Circuits
JSTS    Journal of Semiconductor Technology and Science
MCOM    IEEE Communications Magazine
MICRO    IEEE Micro
PR    Pattern Recognition
SP-IC    Signal Processing : Image Communication
SSE    Solid State Electronics
TADVP    IEEE Transactions on Advanced Packaging
TBioCAS    IEEE Transactions on Biomedical Circuits and Systems
TCAS-I    IEEE Transactions on Circuits And Systems I
TCAS-II    IEEE Transactions on Circuits And Systems II
TCSVT    IEEE Transactions on Circuits and Systems for Video Technology
TITB    IEEE Transactions on Information Technology in Biomedicine
TMTT    IEEE Transactions on Microwave Theory and Techniques
TNN    IEEE Transactions on Neural Networks
TOC    IEEE Transactions on Computers
TVLSI    IEEE Transactions on Very Large Scale Integration(VLSI) Systems

#1233, School of Electrical Engineering, KAIST, 291 Daehak-ro (373-1 Guseong-dong), Yuseong-gu,
Daejeon 34141, Republic of Korea / Tel. +82-42-350-8068 / Fax. +82-42-350-3410 / Mail: sslmaster@kaist.ac.kr
Copyright (C) 2017, SEMICONDUCTOR SYSTEM LAB., All Rights Reserved.