본문 바로가기
로그인

Semiconductor System Lab

PUBLICATION

Semiconductor System Lab

Through this homepage, we would like to share our sweats, pains,
excitements and experiences with you.

INTERNATIONAL JOURNAL PAPER

Year 2024
  • No. Publication Title
    1 TCAS-II 2024

    A 8.81 TFLOPS/W Deep-Reinforcement-Learning Accelerator with Delta-based Weight Sharing and Block-Mantissa Reconfigurable PE Array

    Author | Sanghyuk An, Junha Ryu, Gwangtae Park, and Hoi-Jun Yoo

    2 TCAS-II 2024

    An 2.31uJ/Inference Ultra-Low Power Always-On Event-Driven AI-IoT SoC with Switchable nvSRAM Compute-in-Memory Macro

    Author | Haoyang Sang, Wenao Xie, Gwangtae Park, and Hoi-Jun Yoo

    3 JSSC 2024

    Scaling-CIM: eDRAM In-Memory-Computing Accelerator With Dynamic-Scaling ADC and Adaptive Analog Operation

    Author | Sangjin Kim, Soyeon Um, Wooyoung Jo, Jingu Lee, Sangwoo Ha, Zhiyong Li, and Hoi-Jun Yoo

Year 2023
  • No. Publication Title
    1 TCAS-II 2023

    An Overview of Computing-in-Memory Circuits With DRAM and NVM

    Author | Sangjin Kim, and Hoi-Jun Yoo

    2 JSSC 2023

    C-DNN: An Energy-Efficient Complementary Deep-Neural-Network Processor With Heterogeneous CNN/SNN Core Architecture

    Author | Sangyeob Kim, Soyeon Kim, Seongyon Hong, Sangjin Kim, Donghyeon Han, Jiwon Choi, and Hoi-Jun Yoo

    3 MICRO 2023

    A Low-power AI-based 3D Rendering Processor with Hybrid DNN Computing

    Author | Donghyeon Han, Junha Ryu, Sangyeob Kim, Sangjin Kim, Jongjun Park, and Hoi-Jun Yoo

    4 MICRO 2023

    COOL-NPU: Complementary Online Learning Neural Processing Unit

    Author | Sangyeob Kim, Soyeon Kim, Seongyon Hong, Sangjin Kim, Jiwon Choi, Donghyeon Han, and Hoi-Jun Yoo

    5 JSSC 2023

    DynaPlasia: An eDRAM In-Memory Computing-Based Reconfigurable Spatial Accelerator With Triple-Mode Cell

    Author | Sangjin Kim, Zhiyong Li, Soyeon Um, Wooyoung Jo, Sangwoo Ha, Juhyoung Lee, Sangyeob Kim, Donghyeon H…

    6 JETCAS 2023

    C-DNN V2: Complementary Deep-Neural-Network Processor with Full-Adder/OR-based Reduction Tree and Reconfigurable Spatial Weight Reuse

    Author | Sangyeob Kim, and Hoi-Jun Yoo

    7 JSSC 2023

    MetaVRain: A Mobile Neural 3-D Rendering Processor With Bundle-Frame-Familiarity-Based NeRF Acceleration and Hybrid DNN Computing

    Author | Donghyeon Han, Junha Ryu, Sangyeob Kim, Sangjin Kim, Jongjun Park, and Hoi-Jun Yoo

    8 JSSC 2023

    Neuro-CIM: ADC-less Neuromorphic Computing-in-Memory Processor with Operation Gating/Stopping and Digital-Analog Networks

    Author | Sangyeob Kim, Sangjin Kim, Soyeon Um, Soyeon Kim, Kwantae Kim, and Hoi-Jun Yoo

    9 JSSC 2023

    SNPU: An Energy-Efficient Spike Domain Deep-Neural-Network Processor with Two-step Spike Encoding and Shift-and-Accumulation Unit

    Author | Sangyeob Kim, Sangjin Kim, Soyeon Um, Soyeon Kim, Juhyoung Lee, and Hoi-Jun Yoo

    10 TCAS-II 2023

    A 92 fps and 2.56 mJ/frame Computing-in-Memory-based Human Pose Estimation Accelerator with Resource-Efficient Macro for Mobile Devices

    Author | Beomseok Kwon, Zhiyong Li, Sangjin Kim, Wooyoung Jo, and Hoi-Jun Yoo

    11 MICRO 2023

    A Mobile 3D Object Recognition Processor with Deep Learning-based Monocular Depth Estimation

    Author | Dongseok Im, Gwangtae Park, Zhiyong Li, Junha Ryu, Sanghoon Kang, Donghyeon Han, Jinsu Lee, Wonhoon …

Year 2022
  • No. Publication Title
    1 JSSC 2022

    An Efficient Deep-Learning-Based Super-Resolution Accelerating SoC With Heterogeneous Accelerating and Hierarchical Cache

    Author | Zhiyong Li, Sangjin Kim, Dongseok Im, Donghyeon Han, Hoi-Jun Yoo

    2 OJSSCS 2022

    Energy-efficient DNN Training Processors on Micro-AI Systems

    Author | Donghyeon Han, Sanghoon Kang, Sangyeob Kim, Juhyoung Lee, and Hoi-Jun Yoo

    3 JSSC 2022

    DSPU: An Efficient Deep Learning-Based Dense RGB-D Data Acquisition with Sensor Fusion and 3-D Perception SoC

    Author | Dongseok Im, Gwangtae Park, Junha Ryu, Zhiyong Li, Sanghoon Kang, Donghyeon Han, Jinsu Lee, Wonhoon …

    4 JSSC 2022

    A 23 μW Keyword Spotting IC with Ring-Oscillator-Based Time-Domain Feature Extraction

    Author | Kwantae Kim, Chang Gao, Rui Graça, Ilya Kiselev, Hoi-Jun Yoo, Tobi Delbruck, Shih-Chii Liu

    5 JSSC 2022

    FlashMAC: A Time-Frequency Hybrid MAC Architecture With Variable Latency-Aware Scheduling for TinyML Systems

    Author | Surin Gweon, Sanghoon Kang, Kwantae Kim, and Hoi-Jun Yoo

    6 TCAS-II 2022

    A 49.5 mW Multi-scale Linear Quantized Online Learning Processor for Real-Time Adaptive Object Detection

    Author | Seokchan Song, Soyeon Kim, Gwangtae Park, Donghyeon Han, and Hoi-Jun Yoo

    7 TCAS-II 2022

    A 36.2 dB High SNR and PVT/Leakage-robust eDRAM Computing-In-Memory Macro with Segmented BL and Reference Cell Array

    Author | Sangwoo Ha, Sangjin Kim, Donghyeon Han, Soyeon Um, and Hoi-Jun Yoo

    8 JSSC 2022

    OmniDRL: An Energy-Efficient Deep Reinforcement Learning Processor with Dual-mode Weight Compression and Sparse Weight Transposer

    Author | Juhyoung Lee, Sangyeob Kim, Sangjin Kim, Wooyoung Jo, Donghyeon Han, and Hoi-Jun Yoo

    9 TCAS-I 2022

    A Low-Power Graph Convolutional Network Processor with Sparse Grouping for 3D Point Cloud Semantic Segmentation in Mobile Devices

    Author | Sangjin Kim, Sangyeob Kim, Juhyoung Lee, and Hoi-Jun Yoo

    10 TCAS-I 2022

    TSUNAMI: Triple Sparsity-aware Ultra Energy-efficient Neural Network Training Accelerator with Multi-modal Iterative Pruning

    Author | Sangyeob Kim, Juhyoung Lee, Sanghoon Kang, Donghyeon Han, Wooyoung Jo, and Hoi-Jun Yoo

Year 2021
  • No. Publication Title
    1 TBioCAS 2021

    Simultaneous Electrical Bio-Impedance Plethysmography at Different Body Parts: Continuous and Non-Invasive Monitoring of Pulse Wave Velocity

    Author | Kwonjoon Lee and Hoi-Jun Yoo

    2 MICRO 2021

    ECIM: Exponent Computing in Memory for an Energy Efficient Heterogeneous Floating-Point DNN Training Processor

    Author | Juhyoung Lee, Jihoon Kim, Wooyoung Jo, Sangyeob Kim, Sangjin Kim, and Hoi-Jun Yoo

    3 MICRO 2021

    A Mobile DNN Training Processor with Automatic Bit-precision Search and Fine-grained Sparsity Exploitation

    Author | Donghyeon Han, Dongseok Im, Gwangtae Park, Youngwoo Kim, Seokchan Song, Juhyoung Lee, and Hoi-Jun Yo…

    4 OJ-SSCS 2021

    An Overview of Energy-Efficient Hardware Accelerators for On-Device Deep-Neural-Network Training

    Author | Jinsu Lee and Hoi-Jun Yoo

    5 JETCAS 2021

    An Overview of Sparsity Exploitation in CNNs for On-Device Intelligence with Software-Hardware Cross-Layer Optimizations

    Author | Sanghoon Kang, Gwangtae Park, Sangjin Kim, Soyeon Kim, Donghyeon Han, and Hoi-Jun Yoo

    6 JSSC 2021

    Design of Sub-10-uW Sub-0.1% THD Sinusoidal Current Generator IC for Bio-Impedance Sensing

    Author | Kwantae Kim, Sangyeob Kim, and Hoi-Jun Yoo

    7 JSSC 2021

    An Energy-Efficient GAN Accelerator with On-Chip Training for Domain Specific Optimization

    Author | Soyeon Kim, Sanghoon Kang, Donghyeon Han, Sangjin Kim, Sangyeob Kim, and Hoi-Jun Yoo

    8 JSSC 2021

    A Pipelined Point Cloud based Neural Network Processor for 3D Vision with Large-scale Max Pooling Layer Prediction

    Author | Dongseok Im, Donghyeon Han, Sanghoon Kang, and Hoi-Jun Yoo

    9 JSSC 2021

    HNPU: An Adaptive DNN Training Processor Utilizing Stochastic Dynamic Fixed-point and Active Bit-precision Searching

    Author | Donghyeon Han, Dongseok Im, Gwangtae Park, Youngwoo Kim, Seokchan Song, Juhyoung Lee, and Hoi-Jun Yo…

    10 JSSC 2021

    GANPU: An Energy-Efficient Multi-DNN Training Processor for GANs with Speculative Dual-Sparsity Exploitation

    Author | Sanghoon Kang, Donghyeon Han, Juhyoung Lee, Dongseok Im, Sangyeob Kim, Soyeon Kim, Junha Ryu, and Ho…

    11 TCAS-II 2021

    A 0.82 μW CIS-based Action Recognition SoC with Self-Adjustable Frame Resolution for Always-on IoT Devices

    Author | Junha Ryu, Gwangtae Park, Dongseok Im, Ji-Hoon Kim, and Hoi-Jun Yoo

    12 TCAS-II 2021

    A 64.1mW Accurate Real-time Visual Object Tracking Processor with Spatial Early Stopping on Siamese Network

    Author | Soyeon Kim, Sangjin Kim, Sangyeob Kim, Donghyeon Han, and Hoi-Jun Yoo

    13 TCAS-II 2021

    A 43.1TOPS/W Energy-Efficient Absolute-Difference-Accumulation Operation Computing-In-Memory with Computation Reuse

    Author | Soyeon Um, Sangyeob Kim, Sangjin Kim, and Hoi-Jun Yoo

    14 JSSC 2021

    A 36-Channel Auto-Calibrated Front-End ASIC for a pMUT-Based Miniaturized 3-D Ultrasound System

    Author | Jihee Lee, Kyoung-Rog Lee, Benjamin E. Eovino, Jeong Hoan Park, Luna Yue Liang, Liwei Lin, Hoi-Jun Y…

Year 2020
  • No. Publication Title
    1 JSSC 2020

    Z-PIM: A Sparsity-Aware Processing-In-Memory Architecture with Fully-Variable Weight Bit-Precision for Energy-Efficient Deep Neural Networks

    Author | Ji-Hoon Kim, Juhyoung Lee, Jinsu Lee, Jaehoon Heo, and Joo-Young Kim

    2 JSTS 2020

    An Ultra-low-power Mixed-mode Face Recognition Processor for Always-on User Authentication in Mobile Device

    Author | Ji-Hoon Kim, Changhyeon Kim, Kwantae Kim, Juhyung Lee, Hoi-Jun Yoo, and Joo-Young Kim

    3 SSCL 2020

    PNPU: An Energy-Efficient Deep-Neural-Network Learning Processor With Stochastic Coarse–Fine Level Weight Pruning and Adaptive Input/Output/Weight Zero Skipping Publisher

    Author | Sangyeob Kim, Juhyoung Lee, Sanghoon Kang, Jinmook Lee, Wooyoung Jo, and Hoi-Jun Yoo

    4 JSSC 2020

    DF-LNPU: A Pipelined Direct Feedback Alignment-Based Deep Neural Network Learning Processor for Fast Online Learning

    Author | Donghyeon Han, Jinsu Lee, and Hoi-Jun Yoo

    5 TCAS-I 2020

    The Development of Silicon for AI: Different Design Approaches

    Author | Kyuho Jason Lee, Jinmook Lee, Sungpill Choi, and Hoi-Jun Yoo

    6 JSSC 2020

    Wireless Body-Area-Network Transceiver and Low-Power Receiver With High Application Expandability

    Author | Jaeeun Jang, Jihee Lee, Hyunwoo Cho, Jaehyuk Lee, and Hoi-Jun Yoo

    7 SSCL 2020

    A 1.02-μW STT-MRAM-Based DNN ECG Arrhythmia Monitoring SoC With Leakage-Based Delay MAC Unit

    Author | Kyoung-Rog Lee, Jihoon Kim, Changhyeon Kim, Donghyeon Han, Juhyoung Lee, Jinsu Lee, Hongsik Jeong, a…

    8 JSSC 2020

    A 9.6-mW/Ch 10-MHz Wide-Bandwidth Electrical Impedance Tomography IC With Accurate Phase Compensation for Early Breast Cancer Detection

    Author | Jaehyuk Lee, Surin Gweon, Kwonjoon Lee, Soyeon Um, Kyoung-Rog Lee, and Hoi-Jun Yoo

    9 JETCAS 2020

    SRNPU: An Energy-Efficient CNN-Based Super-Resolution Processor With Tile-Based Selective Super-Resolution in Mobile Devices

    Author | Juhyoung Lee, Jinsu Lee, and Hoi-Jun Yoo

    10 TCAS-I 2020

    The Hardware and Algorithm Co-Design for Energy-Efficient DNN Processor on Edge/Mobile Devices

    Author | Jinsu Lee, Sanghoon Kang, Jinmook Lee, Dongjoo Shin, Donghyeon Han, and Hoi-Jun Yoo

    11 JSTS 2020

    A 9.52 ms Latency, and Low-power Streaming Depth-estimation Processor with Shifter-based Pipelined Architecture for Smart Mobile Devices

    Author | Sungpill Choi, Kyuho Jason Lee, Youngwoo Kim, and Hoi-Jun Yoo

    12 Nature Electronics 2020

    Neuro-inspired Computing Chips

    Author | Wenqiang Zhang, Bin Gao, Jianshi Tang, Peng Yao, Shimeng Yu, Meng-Fan Chang, Hoi-Jun Yoo, He Qian & …

    13 TCAS-II 2020

    A 1.15 TOPS/W Energy-efficient Capsule Network Accelerator for Real-time 3D Point Cloud Segmentation in Mobile Environment

    Author | Gwangtae Park, Dongseok Im, Donghyeon Han, and Hoi-Jun Yoo

    14 TCAS-I 2020

    DT-CNN: An Energy-Efficient Dilated and Transposed Convolutional Neural Network Processor for Region of Interest Based Image Segmentation

    Author | Dongseok Im, Donghyeon Han, Sungpill Choi, Sanghoon Kang, and Hoi-Jun Yoo

    15 JSSC 2020

    A 0.5 V Sub-10 μW 15.28 mΩ/√Hz Bio-Impedance Sensor IC with Sub-1° Phase Error

    Author | Kwantae Kim, Ji-Hoon Kim, Surin Gweon, Minseo Kim, and Hoi-Jun Yoo

    16 TCAS-II 2020

    A 0.22–0.89 mW Low-Power and Highly-Secure Always-on Face Recognition Processor with Adversarial Attack Prevention

    Author | Youngwoo Kim, Donghyeon Han, Changhyeon Kim, and Hoi-Jun Yoo

    17 TCAS-I 2020

    A Power-Efficient CNN Accelerator With Similar Feature Skipping for Face Recognition in Mobile Devices

    Author | Sangyeob Kim, Juhyoung Lee, Sanghoon Kang, Jinsu Lee, and Hoi-Jun Yoo

Year 2019
  • No. Publication Title
    1 JETCAS 2019

    CNNP-v2: A Memory-Centric Architecture for Low-Power CNN Processor on Domain-Specific Mobile Devices

    Author | Sungpill Choi, Kyeongryeol Bong, Donghyeon Han, and Hoi-Jun Yoo

    2 SSCL 2019

    An Energy-Efficient Deep Reinforcement Learning Accelerator with Transposable PE Array and Experience Compression

    Author | Changhyeon Kim, Sanghoon Kang, Sungpill Choi, Dongjoo Shin, Youngwoo Kim, Hoi-Jun Yoo

    3 SSCL 2019

    An Energy-Efficient Sparse Deep-Neural-Network Learning Accelerator with Fine-grained Mixed Precision of FP8-FP16

    Author | Jinsu Lee, Juhyoung Lee, Donghyeon Han, Jinmook Lee, Gwangtae Park, and Hoi-Jun Yoo

    4 JSTS 2019

    A 99.4 fps Optical Flow Estimation Processor with Image Tiling for Action Recognition in Mobile Devices

    Author | Juhyoung Lee, Sungpill Choi, Jinmook Lee, Sanghoon Kang, and Hoi-Jun Yoo

    5 JSTS 2019

    A Chaotic Entropy Source based PUF Design for Secure IoT Platform

    Author | Minseo Kim and Hoi-Jun Yoo

    6 JSTS 2019

    Low Power and Accurate Current Driver IC for Electrical Impedance Tomography Applications

    Author | Jaeeun Jang and Hoi-Jun Yoo

    7 JSTS 2019

    The Reinforcement Learning based Local Routing Optimization for Ad-hoc Network

    Author | Yongsu Lee, Jongchan Woo, Hoi-Jun Yoo

    8 JSTS 2019

    A Low-power, Mixed-mode Neural Network Classifier for Robust Scene Classification

    Author | Kyuho Lee, Junyoung Park, Hoi-Jun Yoo

    9 Proceedings of the IEEE

    The Heterogeneous Deep Neural Network Processor With a Non-von Neumann Architecture

    Author | Dongjoo Shin, Hoi-Jun Yoo

    10 JSSC 2019

    A 0.8-V 82.9-μW In-Ear BCI Controller IC With 8.8 PEF EEG Instrumentation Amplifier and Wireless BAN Transceiver

    Author | Jaehyuk Lee, Kyoung-Rog Lee, Unsoo Ha, Ji-Hoon Kim, Kwonjoon Lee, Surin Gweon, Jaeeun Jang, and Hoi-…

Year 2018
  • No. Publication Title
    1 TCAS-I 2018

    A Low-Power Deep Neural Network Online Learning Processor for Real-Time Object Tracking Application

    Author | Donghyeon Han, Jinsu Lee, Jinmook Lee, and Hoi-Jun Yoo

    2 JSSC 2018

    A Four-Camera VGA-Resolution Capsule Endoscope System With 80-Mb/s Body Channel Communication Transceiver and Sub-Centimeter Range Capsule Localization

    Author | Jaeeun Jang, Jihee Lee, Kyoung-Rog Lee, Jiwon Lee, Minseo Kim, Yongsu Lee, Joonsung Bae, and Hoi-Jun…

    3 MICRO 2018

    DNPU: An Energy-Efficient Deep Learning Processor with Heterogeneous Multi-Core Architecture

    Author | Dongjoo Shin, Jinmook Lee, Jinsu Lee, Juhyoung Lee, and Hoi-Jun Yoo

    4 JSSC 2018

    UNPU: An Energy-Efficient Deep Neural Network Accelerator with Fully-Variable Weight Bit Precision

    Author | Jinmook Lee, Changhyeon Kim, Sanghoon Kang, Dongjoo Shin, Sangyeob Kim and Hoi-Jun Yoo

    5 JETCAS 2018

    Low-Power Scalable 3-D Face Frontalization Processor for CNN-based Face Recognition in Mobile Devices

    Author | Sanghoon Kang, Jinmook Lee, Kyeongryeol Bong, Changhyeon Kim, Youchang Kim, and Hoi-Jun Yoo

    6 JSSC 2018

    An EEG-NIRS Multimodal SoC for Accurate Anesthesia Depth Monitoring

    Author | Unsoo Ha, Jaehyuk Lee, Minseo Kim, Taehwan Roh, Sangsik Choi, and Hoi-Jun Yoo

    7 JSSC 2018

    A Low-Power Convolutional Neural Network Face Recognition Processor and a CIS Integrated With Always-on Face Detector

    Author | Kyeongryeol Bong, Sungpill Choi, Changhyeon Kim, Donghyeon Han, and Hoi-Jun Yoo

    8 TCAS-I 2018

    0.55 V 1.1 mW Artificial Intelligence Processor with On-chip PVT Compensation for Autonomous Mobile Robots

    Author | Youchang Kim, Dongjoo Shin, Jinsu Lee, Yongsu Lee, and Hoi-Jun Yoo

Year 2017
  • No. Publication Title
    1 MICRO 2017

    Low-Power Convolutional Neural Network Processor for a Face-Recognition System

    Author | Kyeongryeol Bong, Sungpill Choi, Changhyeon Kim, and Hoi-Jun Yoo

    2 JSTS 2017

    80 μW/MHz, 850 MHz Fault Tolerant Processor with Fault Monitor Systems

    Author | Jinho Han, Youngsu Kwon, Kyeongsun Shin, and Hoi-Jun Yoo

    3 JSTS 2017

    0.025mJ/Image Fast-scan and SNR Enhanced Electrical Impedance Tomography IC for Lung Ventilation Monitoring

    Author | Jaehyuk Lee, Unsoo Ha and Hoi-Jun Yoo

    4 JSTS 2017

    A 55.77 μW Bio-impedance Sensor with 276 μs Settling Time for Portable Blood Pressure Monitoring System

    Author | Kwantae Kim , Minseo Kim , Hyunwoo Cho , Kwonjoon Lee, and Hoi-Jun Yoo

    5 JSTS 2017

    A 206.3 μW Non-contact Compensation IC for Body Channel Communication

    Author | Kyoung-Rog Lee, Jaeeun Jang, and Hoi-Jun Yoo

    6 JSTS 2017

    A 48 μW, 8.88 mW/W Batteryless Wirelessly Power Transferred Body Channel Communication Identification System

    Author | Jihee Lee, Yongsu Lee, Hyungwoo Cho, and Hoi-Jun Yoo

    7 JSTS 2017

    A Memory-efficient Hand Segmentation Architecture for Hand Gesture Recognition in Low-power Mobile Devices

    Author | Sungpill Choi, Seongwook Park, and Hoi-Jun Yoo

    8 JSSC 2017

    A 1.4-mΩ-Sensitivity 94-dB Dynamic-Range Electrical Impedance Tomography SoC and 48-Channel Hub-SoC for 3-D Lung Ventilation Monitoring System

    Author | Minseo Kim, Hyunki Kim, Jaeeun Jang, Jihee Lee, Jaehyuk Lee, Jiwon Lee, Kyungrog Lee, Kwantae Kim, Y…

    9 JSSC 2017

    A 82nW Chaotic-Map True Random Number Generator Based on a Sub-Ranging SAR ADC

    Author | Minseo Kim, Unsoo Ha, Kyuho Lee, Yongsu Lee, and Hoi-Jun Yoo

    10 MICRO 2017

    BRAIN: A Low-Power Deep Search Engine for Autonomous Robots

    Author | Youchang Kim, Dongjoo Shin, Jinsu Lee, and Hoi-Jun Yoo

    11 TVLSI 2017

    A 17.5 fJ/bit Energy-efficient Analog SRAM for Mixed-signal Processing

    Author | Jinsu Lee, Dongjoo Shin, Youchang Kim, and Hoi-Jun Yoo

    12 JETCAS 2017

    Sticker-Type Hybrid Photoplethysmogram Monitoring System Integrating CMOS IC With Organic Optical Sensors

    Author | Yongsu Lee, Hyeonwoo Lee, Jaeeun Jang, Jihee Lee, Minseo Kim, Jaehyuk Lee, Hyunki Kim, Seunghyup Yoo…

    13 JSSC 2017

    A 502-GOPS and 0.984-mW Dual-Mode Intelligent ADAS SoC With Real-Time Semiglobal Matching and Intention Prediction for Smart Automotive Black Box System

    Author | Kyuho Jason Lee, Kyeongryeol Bong, Changhyeon Kim, Jaeeun Jang, Kyoung-Rog Lee, Jihee Lee, Gyeonghoo…

Year 2016
  • No. Publication Title
    1 TCAS-I 2016

    A CMOS Image Sensor-Based Stereo Matching Accelerator With Focal-Plane Sparse Rectification and Analog Census Transform

    Author | Changhyeon Kim, Kyeongryeol Bong, Sungpill Choi, Kyuho Jason Lee, and Hoi-jun Yoo

    2 TCAS-II 2016

    A Fault Tolerant Cache System of Automotive Vision Processor Complying With ISO26262

    Author | Jinho Han, Youngsu Kwon, Kyeongjin Byun, and Hoi-jun Yoo

    3 JSTS 2016

    Wrist Watch-type Cardiovascular Monitoring System using Concurrent ECG and APW Measurement

    Author | Kwonjoon Lee, Kiseok Song, Taehwan Roh, and Hoi-jun Yoo

    4 Microelectronics Journal 2016

    The effects of electrode impedance on receiver sensitivity in body channel communication

    Author | Joonsung Bae and Hoi-Jun Yoo

    5 JSSC 2016

    An Energy-Efficient Embedded Deep Neural Network Processor for High Speed Visual Attention in Mobile Vision Recognition SoC

    Author | Seongwook Park, Injoon Hong, Junyoung Park, and Hoi-Jun Yoo

    6 JSTS 2016

    A 95% accurate EEG-connectome Processor for a Mental Health Monitoring System

    Author | Hyunki Kim, Kiseok Song, Taehwan Roh, and Hoi-Jun Yoo

    7 TBioCAS 2016

    A 540-μW Duty Controlled RSSI with Current Reusing Technique for Human Body Communication

    Author | Jaeeun Jang, Yongsu Lee, Hyunwoo Cho, and Hoi-Jun Yoo

    8 TCAS-II 2016

    An Energy-efficient Speech Extraction Processor for Robust User Speech Recognition in Mobile Head-mounted Display Systems

    Author | Jinmook Lee, Seongwook Park, Injoon Hong, and Hoi-Jun Yoo

    9 JSSC 2016

    A 0.5° Error 10 mW CMOS Image Sensor-Based Gaze Estimation Processor

    Author | Kyeongryeol Bong, Injoon Hong, Gyeonghoon Kim, and Hoi-Jun Yoo

    10 TCAS-I 2016

    A 0.5 V 54 μW Ultra-Low-Power Object Matching Processor for Micro Air Vehicle Navigation

    Author | Youchang Kim, Injoon Hong, Junyoung Park, and Hoi-Jun Yoo

    11 TBioCAS 2016

    A 259.6μW HRV-EEG Processor With Nonlinear Chaotic Analysis During Mental Tasks

    Author | Taehwan Roh, Sunjoo Hong, Hyunwoo Cho, and Hoi-Jun Yoo

    12 JSSC 2016

    A 79 pJ/b 80 Mb/s Full-Duplex Transceiver and a 42.5 μW 100 kb/s Super-Regenerative Transceiver for Body Channel Communication

    Author | Hyunwoo Cho, Hyunki Kim, Minseo Kim, Jaeeun Jang, Yongsu Lee, Kyuho Jason Lee, Joonsung Bae, and Hoi…

    13 JSSC 2016

    A 2.71 nJ/Pixel Gaze-Activated Object Recognition System for Low-Power Mobile Smart Glasse

    Author | Injoon Hong, Kyeongryeol Bong, Dongjoo Shin, Seongwook Park, Kyuho Jason Lee, Youchang Kim, and Hoi-…

Year 2015
  • No. Publication Title
    1 TBioCAS 2015

    An Energy-Efficient and Scalable Deep Learning/Interference Processor With Tetra-Parallel MIMD Architecture for Big Data Application

    Author | Seongwook Park, Junyoung Park, Kyeongryeol Bong, Dongjoo Shin, Jinmook Lee, Sungpill Choi, and Hoi-J…

    2 TBioCAS 2015

    A Wearable EEG-HEG-HRV Multimodal System With Simultaneous Monitoring of tES for Mental Health Management

    Author | Unsoo Ha, Yongsu Lee, Hyunki Kim, Taehwan Roh, Joonsung Bae, Changhyeon Kim, and Hoi-Jun Yoo

    3 JSSC 2015

    A 5.2 mW IEEE 802.15.6 HBC Standard Compatible Transceiver With Power Efficient Delay-Locked-Loop Based BPSK Demodulator

    Author | Hyunwoo Cho, Hyungwoo Lee, Joonsung Bae, and Hoi-Jun Yoo

    4 JSSC 2015

    A 27 mW Reconfigurable Marker-Less Logarithmic Camera Pose Estimation Engine for Mobile Augmented Reality Processor

    Author | Injoon Hong, Gyeonghoon Kim, Youchang Kim, Donghyun Kim, Byeong-Gyu Nam, and Hoi-Jun Yoo

    5 JSSC 2015

    A 10.4 mW Electrical Impedance Tomography SoC for Portable Real-Time Lung Ventilation Monitoring System

    Author | Sunjoo Hong, Jaehyuk Lee, Joonsung Bae, and Hoi-Jun Yoo

    6 JSSC 2015

    A 45μW Injection-Locked FSK Wake-Up Receiver With Frequency-to-Envelope Conversion for Crystal-Less Wireless Body Area Network

    Author | Joonsung Bae and Hoi-Jun Yoo

    7 TMTT 2015

    The Effects of Electrode Configuration on Body Channel Communication Based on Analysis of Vertical and Horizontal Electric Dipoles

    Author | Joonsung Bae and Hoi-Jun Yoo

    8 JSSC 2015

    A Vocabulary Forest Object Matching Processor With 2.07 M-Vector/s Throughput and 13.3 nJ/Vector Per-Vector Energy for Full-HD 60 fps Video Object Recognition

    Author | Kyuho Jason Lee, Gyeonghoon Kim, Junyoung Park, and Hoi-Jun Yoo

    9 JSSC 2015

    An Impedance and Multi-Wavelength Near-Infrared Spectroscopy IC for Non-Invasive Blood Glucose Estimation

    Author | Kiseok Song, Unsoo Ha, Seongwook Park, Joonsung Bae, and Hoi-Jun Yoo

    10 JSSC 2015

    A 4.9 mΩ-Sensitivity Mobile Electrical Impedance Tomography IC for Early Breast-Cancer Detection System

    Author | Sunjoo Hong, Kwonjoon Lee, Unsoo Ha, Hyunki Kim, Yongsu Lee, Youchang Kim, and Hoi-Jun Yoo

    11 JSSC 2015

    A 1.22 TOPS and 1.52 mW/MHz Augmented Reality Multi-Core Processor with Neural Network NoC for HMD Applications

    Author | Gyeonghoon Kim, Kyuho Lee, Youchang Kim, Seongwook Park, Injoon Hong, Kyeongryeol Bong, and Hoi-Jun…

Year 2014
  • No. Publication Title
    1 TBioCAS 2014

    A Wearable Neuro-Feedback System With EEG-Based Mental Status Monitoring and Transcranial Electrical Stimulation

    Author | Taehwan Roh, Kiseok Song, Hyunwoo Cho, Dongjoo Shin, and Hoi-Jun Yoo

    2 MICRO 2014

    An Augmented Reality Processor with a Congestion-Aware Network-on-Chip Scheduler

    Author | Gyeonghoon Kim, Donghyun Kim, Seongwook Park, Youchang Kim, Kyuho Lee, Injoon Hong, Kyeongryeol Bon…

    3 TCAS-I 2014

    Intelligent Network-on-Chip With Online Reinforcement Learning for Portable HD Object Recognition Processor

    Author | Junyoung Park, Injoon Hong, Gyeonghoon Kim, Byeong-Gyu Nam, and Hoi-Jun Yoo

    4 JSSC 2014

    An 87mA·min Iontophoresis Controller IC With Dual-Mode Impedance Sensor for Patch-Type Transdermal Drug Delivery System

    Author | Kiseok Song, Unsoo Ha, Jaehyuk Lee, Kyeongryeol Bong, and Hoi-Jun Yoo

Year 2013
  • No. Publication Title
    1 JSSC 2013

    A 57mW 12.5μJ/epoch Embedded Mixed-mode Neuro-Fuzzy Processor for Mobile Real-time Object Recognition

    Author | Jinwook Oh, Gyeonghoon Kim, Byeong-Gyu Nam, and Hoi-Jun Yoo

    2 JSSC 2013

    An 86mW 98GOPS ANN-Searching Processor for Full-HD 30fps Video Object Recognition with Zeroless Locality-Sensitive Hashing

    Author | Gyeonghoon Kim, Jinwook Oh, Seungjin Lee, and Hoi-Jun Yoo

    3 TCAS-I 2013

    A 37.5μW Body Channel Communication Wake-up Receiver with Injection-locking Ring Oscillator for Wireless Body Area Network

    Author | Hyunwoo Cho, Joonsung Bae, and Hoi-Jun Yoo

    4 TBioCAS 2013

    Low Power and Self-Reconfigurable WBAN Controller for Continuous Bio-Signal Monitoring System

    Author | Seulki Lee and Hoi-Jun Yoo

    5 SSCS Magazine 2013

    Your Heart on Your Sleeve: Advances in Textile-Based Electronics Are Weaving Computers Right into the Clothes We Wear

    Author | Hoi-Jun Yoo

    6 JSSC 2013

    A 320mW 342GOPS Real-Time Dynamic Object Recognition Processor for HD 720p Video Streams

    Author | Jinwook Oh, Gyeonghoon Kim, Junyoung Park, Injoon Hong, Seungjin Lee, Joo-Young Kim, Jeong-Ho Woo, …

Year 2012
  • No. Publication Title
    1 TBioCAS 2012

    A Sub-10 nA DC-Balanced Adaptive Stimulator IC With Multi-Modal Sensor for Compact Electro-Acupuncture Stimulation

    Author | Kiseok Song, Hyungwoo Lee, Sunjoo Hong, Hyunwoo Cho, Unsoo Ha, and Hoi-Jun Yoo

    2 MICRO 2012

    Low-Power, Real-Time Object Recognition Processor for Mobile Vision Systems

    Author | Jinwook Oh, Gyeonghoon Kim, Junyoung Park, Injoon Hong, Seungjin Lee, Joo-Young Kim, Jeong-Ho Woo, …

    3 JSSC 2012

    A Low Energy Crystal-Less Double-FSK Sensor Node Transceiver for Wireless Body-Area-Network

    Author | Joonsung Bae, Kiseok Song, Hyungwoo Lee, Hyunwoo Cho, and Hoi-Jun Yoo

    4 JSSC 2012

    A 92mW Real-Time Traffic Sign Recognition System with Robust Illumination Adaptation and Support Vector Mahcine

    Author | Junyoung Park, Joonsoo Kwon, Jinwook Oh, Seungjin Lee, Joo-Young Kim, and Hoi-Jun Yoo

    5 TVLSI 2012

    A 1.2-mW Online Learning Mixed-mode Intelligent Inference Engine for Low Power Real-time Object Recognition Processor

    Author | Jinwook Oh, Seunjin Lee, and Hoi-Jun Yoo

    6 TMTT 2012

    The Signal Transmission Mechanism on the Surface of Human Body for Body Channel Communication

    Author | Joonsung Bae, Hyunwoo Cho, Kiseok Song, Hyungwoo Lee, and Hoi-Jun Yoo

    7 JSSC 2012

    A 75μW Real-Time Scalable Body Area Network Controller and a 25μW ExG Sensor IC for Compact Sleep Monitoring Applications

    Author | Seulki Lee, Long Yan, Taehwan Roh, Sunjoo Hong, and Hoi-Jun Yoo

    8 JSSC 2012

    A 0.24-nJ/b Wireless Body-Area-Network Transceiver With Scalable Double-FSK Modulation

    Author | Joonsung Bae, Kiseok Song, Hyungwoo Lee, Hyunwoo Cho, and Hoi-Jun Yoo

Year 2011
  • No. Publication Title
    1 TBioCAS 2011

    A Wirelessly Powered Electro-Acupuncture Based on Adaptive Pulsewidth Monophase Stimulation

    Author | Kiseok Song, Long Yan, Seulki Lee, Jerald Yoo, and Hoi-Jun Yoo

    2 JSSC 2011

    A Low Energy Injection-Locked FSK Transceiver With Frequency-to-Amplitude Conversion for Body Sensor Applications

    Author | Joonsung Bae, Long Yan, and Hoi-Jun Yoo

    3 JSTS 2011

    A 40fJ/c-s 1V 10 bit SAR ADC with Dual Sampling Capacitive DAC Topology

    Author | Binhee Kim, Long Yan, Jerald Yoo, and Hoi-Jun Yoo

    4 TNN 2011

    24-GOPS 4.5-mm2 Digital Cellular Neural Network for Rapid Visual Attention in an Object-Recognition SoC

    Author | Seungjin Lee, Minsu Kim, Kwanho Kim, Joo-Young Kim, and Hoi-Jun Yoo

    5 JSSC 2011

    A 3.9mW 25-Electrode Reconfigured Sensor for Wearable Cardiac Monitoring System

    Author | Long Yan, Joonsung Bae, Seulki Lee, Taehwan Roh, Kiseok Song, and Hoi-Jun Yoo

    6 JSSC 2011

    A 345mW Heterogeneous Many-Core Processor With an Intelligent Inference Engine for Robust Object Recognition

    Author | Seungjin Lee, Minsu Kim, Kwanho Kim, Joo-Young Kim, and Hoi-Jun Yoo

Year 2010
  • No. Publication Title
    1 JSTS 2010

    A Low-Power Portable ECG Touch Sensor with Two Dry Metal Contact Electrodes

    Author | Long Yan and Hoi-Jun Yoo

    2 JSSC 2010

    A Low-Energy Inductive Coupling Transceiver With Cm-Range 50-Mbps Data Communication in Mobile Device Applications

    Author | Seulki Lee, Kiseok Song, Jerald Yoo, and Hoi-Jun Yoo

    3 JSSC 2010

    A 0.5-μVrms 12-μW Wirelessly Powered Patch-Type Healthcare Sensor for Wearable Body Sensor Network

    Author | Long Yan, Jerald Yoo, Binhee Kim, and Hoi-Jun Yoo

    4 IEICE TC 2010

    A 4.78μs Dynamic Compensated Inductive Coupling Transceiver for Ubiquitous and Wearable Body Sensor Network

    Author | Seulki Lee, Jerald Yoo, and Hoi-Jun Yoo

    5 JSSC 2010

    A 118.4GB/s Multi-Casting Network-on-Chip With Hierarchical Star-Ring Combined Topology for Real-Time Object Recognition

    Author | Joo-Young Kim, Junyoung Park, Seungjin Lee, Minsu Kim, Jinwook Oh, and Hoi-Jun Yoo

    6 TCSVT 2010

    Visual Image Processing RAM: Memory Architecture with 2-D Data Location Search and Data Consistency Management for a Multicore Object Recognition Processor

    Author | Joo-Young Kim, Donghyun Kim, Seungjin Lee, Kwanho Kim, and Hoi-Jun Yoo

    7 SP-IC 2010

    An attention controlled multi-core architecture for energy efficient object recognition

    Author | Joo-Young Kim, Sejong Oh, Seungjin Lee, Minsu Kim, Jinwook Oh, and Hoi-Jun Yoo

    8 PR 2010

    Familiarity based unified visual attention model for fast and robust object recognition

    Author | Seungjin Lee, Kwanho Kim, Joo-Young Kim, Minsu Kim, and Hoi-Jun Yoo

    9 TADVP 2010

    Electrical Characterization of Screen-Printed Circuits on the Fabric

    Author | Yongsang Kim, Hyejung Kim, and Hoi-Jun Yoo

    10 TITB 2010

    ECG Signal Compression and Classification Algorithm With Quad Level Vector for ECG Holter System

    Author | Hyejung Kim, Refet Firat Yazicioglu, Patrick Merken, Chris Van Hoof, and Hoi-Jun Yoo

    11 JSSC 2010

    A 5.2mW Self-Configured Wearable Body Sensor Network Controller and a 12μW 54.9% Efficiency Wirelessly Powered Sensor for Continuous Health Monitoring System

    Author | Jerald Yoo, Long Yan, Seulki Lee , Yongsang Kim, Hyejung Kim, Binhee Kim, and Hoi-Jun Yoo

    12 JSSC 2010

    A 201.4GOPS 496mW Real-Time Multi-Object Recognition Processor With Bio-Inspired Neural Perception Engine

    Author | Joo-Young Kim, Minsu Kim, Seungjin Lee , Jinwook Oh, Kwanho Kim, and Hoi-Jun Yoo

Year 2009
  • No. Publication Title
    1 JSSC 2009

    A 10.8mW Body Channel Communication/MICS Dual-Band Transceiver for a Unified Body Sensor Network Controller

    Author | Namjun Cho, Joonsung Bae, and Hoi-Jun Yoo

    2 TCSVT 2009

    A Configurable Heterogeneous Multicore Architecture with Cellular Neural Network for Real-Time Object Recognition

    Author | Kwanho Kim, Seungjin Lee, Joo-Young Kim, Minsu Kim, and Hoi-Jun Yoo

    3 MICRO 2009

    Real-Time Object Recognition with Neuro-Fuzzy Controlled Workload-Aware Task Pipelining

    Author | Joo-Young Kim, Minsu Kim, Seungjin Lee, Jinwook Oh, Sejong Oh, and Hoi-Jun Yoo

    4 JSSC 2009

    A 1.12 pJ/b Inductive Transceiver With a Fault-Tolerant Network Switch for Multi-Layer Wearable Body Area Network Applications

    Author | Jerald Yoo, Seulki Lee, and Hoi-Jun Yoo

    5 TITB 2009

    A Wearable ECG Acquisition System With Compact Planar-Fashionable Circuit Board-Based Shirt

    Author | Jerald Yoo, Long Yan, Seulki Lee, Hyejung Kim, and Hoi-Jun Yoo

    6 JSTS 2009

    Planar Fashionable Circuit Board Technology and Its Applications

    Author | Seulki Lee, Binhee Kim, and Hoi-Jun Yoo

    7 TMTT 2009

    A Planar MICS Band Antenna Combined With a Body Channel Communication Electrode for Body Sensor Network

    Author | Namjun Cho, Taehwan Roh, Joonsung Bae, and Hoi-Jun Yoo

    8 TVLSI 2009

    A 152-mW Mobile Multimedia SoC With Fully Programmable 3-D Graphics and MPEG4/H.264/JPEG

    Author | Jeong-Ho Woo, Ju-Ho Sohn, Hyejung Kim, and Hoi-Jun Yoo

    9 CG&A 2009

    A Low-Power Multimedia SoC with Fully Programmable 3D Graphics for Mobile Devices

    Author | Jeong-Ho Woo, Ju-Ho Shon, Hyejung Kim, and Hoi-Jun Yoo

    10 IET CDT 2009

    Memory-centric network-on-chip for power efficient execution of task-level pipeline on a multi-core processor

    Author | Donghyun Kim, Kwanho Kim, Joo-Young Kim, Seungjin Lee, Se-Joong Lee, and Hoi-Jun Yoo

    11 JSSC 2009

    An Embedded Stream Processor Core Based on Logarithmic Arithmetic for a Low-Power 3-D Graphics SoC

    Author | Byeong-Gyu Nam, and Hoi-Jun Yoo

    12 TCAS-I 2009

    A 200-Mbps 0.02-nJ/b Dual-Mode Inductive Coupling Transceiver for cm-Range Multimedia Application

    Author | Seulki Lee, Jerald Yoo, and Hoi-Jun Yoo

    13 TVLSI 2009

    81.6GOPS Object Recognition Processor Based on a Memory-Centric NoC

    Author | Donghyun Kim, Kwanho Kim, Joo-Young Kim, Seungjin Lee, Se-Joong Lee, and Hoi-Jun Yoo

    14 JSSC 2009

    A 60kb/s-10Mb/s Adaptive Frequency Hopping Transceiver for Interference-Resilient Body Channel Communication

    Author | Namjun Cho, Long Yan, Joonsung Bae, and Hoi-Jun Yoo

    15 JSSC 2009

    A 125GOPS 583mW Network-on-Chip Based Parallel Processor With Bio-Inspired Visual Attention Engine

    Author | Kwanho Kim, Seungjin Lee, Joo-Young Kim, Minsu Kim, and Hoi-Jun Yoo

Year 2007 ~ 2008
  • No. Publication Title
    1 JSSC 2008

    A 195mW, 9.1MVerices/s Fully Programmable 3-D Graphics Processor for Low-Power Mobile Devices

    Author | Jeong-Ho Woo, Ju-Ho Sohn, Hyejung Kim, and Hoi-Jun Yoo

    2 JSSC 2008

    A 19mW/152 mW Mobile Multimedia SoC With Fully Programmable 3-D Graphics and MPEG4/H.264/JPEG

    Author | Jeong-Ho Woo, Ju-Ho Sohn, Hyejung Kim, and Hoi-Jun Yoo

    3 TOC 2008

    Power and Area-Efficient Unified Computation of Vector and Elementary Functions for Handheld 3D Graphics Systems

    Author | Byeong-Gyu Nam, Hyejung Kim, and Hoi-Jun Yoo

    4 MCOM 2008

    Cost-effective low-power graphics processing unit for handheld devices

    Author | Byeong-Gyu Nam, Jeabin Lee, Kwanho Kim, Seungjin Lee, and Hoi-Jun Yoo

    5 JSSC 2008

    A Fully Integrated Digital Hearing Aid Chip With Human Factors Considerations

    Author | Sunyoung Kim, Seung Jin Lee, Namjun Cho, Seong-Jun Song, and Hoi-Jun Yoo

    6 JSSC 2007

    A 0.9V 96μW Fully Operational Digital Hearing Aid Chip

    Author | Sunyoung Kim, Namjun Cho, Seong-Jun Song, and Hoi-Jun Yoo

    7 JSSC 2007

    A 0.2-mW 2-Mb/s Digital Transceiver Based on Wideband Signaling for Human Body Communications

    Author | Seong-Jun Song, Namjun Cho, and Hoi-Jun Yoo

    8 JSSC 2007

    A Low-Power Unified Arithmetic Unit for Programmable Handheld 3-D Graphics Systems

    Author | Byeong-Gyu Nam, Hyejung Kim, and Hoi-Jun Yoo

    9 TMTT 2007

    The Human Body Characteristics as a Signal Transmission Medium for Intrabody Communication

    Author | Namjun Cho, Jerald Yoo, Seong-Jun Song, Jeabin Lee, Seonghyun Jeon, and Hoi-Jun Yoo

Year 2006
  • No. Publication Title
    1 JSSC 2006

    A 231-MHz, 2.18-mW 32-bit Logarithmic Arithmetic Unit for Fixed-Point 3-D Graphics System

    Author | Hyejung Kim, Byeong-Gyu Nam, Ju-Ho Sohn, Jeong-Ho Woo, and Hoi-Jun Yoo

    2 JSSC 2006

    A 155-mW 50-Mvertices/s Graphics Processor With Fixed-Point Programmable Vertex Shader for Mobile Applications

    Author | Ju-Ho Sohn, Jeong-Ho Woo, Min-Wuk Lee, Hye-Jung Kim, Ramchan Woo, and Hoi-Jun Yoo

    3 JSSC 2006

    An Energy-Efficient Analog Front-End Circuit for a Sub-1-V Digital Hearing Aid Chip

    Author | Sunyoung Kim, Jae-Youl Lee, Seong-Jun Song, Namjun Cho, and Hoi-Jun Yoo

    4 JSSC 2006

    An Autonomous SRAM With On-Chip Sensors in an 80-nm Double Stacked Cell Technology

    Author | Kyomin Sohn, Hyun-Sun Mo, Young-Ho Suh, Hyun-Geun Byun, and Hoi-Jun Yoo

    5 TVLSI 2006

    Low-Power Network-on-Chip for High-Performance SoC Design

    Author | Kangmin Lee, Se-Joong Lee, and Hoi-Jun Yoo

    6 JSSC 2006

    A Regulated Charge Pump With Small Ripple Voltage and Fast Start-Up

    Author | Jae-Youl Lee, Sung-Eun Kim, Seong-Jun Song, Jin-Kyung Kim, Sunyoung Kim, and Hoi-Jun Yoo

Year 2004 ~ 2005
  • No. Publication Title
    1 CM 2005

    Low Power 3D Graphics Processors for Mobile Terminals

    Author | Ju-Ho Sohn, Yong-Ha Park, Chi-Weon Yoon, Ramchan Woo, Se-Jeong Park, and Hoi-Jun Yoo

    2 CE 2005

    Development of a 3-D Graphics Rendering Engine with Lighting Acceleration for Handheld Multimedia Systems

    Author | Byeong-Gyu Nam, Min-Wuk Lee, and Hoi-Jun Yoo

    3 DTC 2005

    Analysis and Implementation of Practical Cost-Effective Network-on-Chips

    Author | Se-Joong Lee, Kangmin Lee, and Hoi-Jun Yoo

    4 TCAS-II 2005

    Packet-Switched On-Chip Interconnection Network for System-on-Chip Applications

    Author | Se-Joong Lee, Kangmin Lee, Seong-Jun Song, and Hoi-Jun Yoo

    5 JSSC 2005

    A 0.7-fJ/Bit/Search 2.2-ns Search Time Hybrid-Type TCAM Architecture

    Author | Sungdae Choi, Kyomin Sohn, and Hoi-Jun Yoo

    6 JSSC 2004

    A Low-Power 3-D Rendering Engine With Two Texture Units and 29-Mb Embedded DRAM for 3G Multimedia Terminals

    Author | Ramchan Woo, Sungdae Choi, Ju-Ho Sohn, Seong-Jun Song, Young-Don Bae, and Hoi-Jun Yoo

    7 JSSC 2004

    1-Gb/s 80-dBΩ Fully Differential CMOS Transimpedance Amplifier in Multichip on Oxide Technology for Optical Interconnects

    Author | Sung Min Park, Jaeseo Lee, and Hoi-Jun Yoo

    8 JSSC 2004

    A 210-mW Graphics LSI Implementing Full 3-D Pipeline With 264 Mtexels/s Texturing for Movile Multimedia Applications

    Author | Ramchan Woo, Sungdae Choi, Ju-Ho Sohn, Seong-Jun Song, and Hoi-Jun Yoo

    9 JSSC 2004

    A1.25-Gb/s Regulated Cascode CMOS Transimpedance Amplifier for Gigabit Ethernet Applications

    Author | Sung Min Park and Hoi-Jun Yoo

Year 2001 ~ 2003
  • No. Publication Title
    1 JSSC 2003

    A 4Gb/s CMOS Clock and Data Recovery Circuit Using 1/8-Rate Clock Technique

    Author | Seong-Jun Song, Sung Min Park, and Hoi-Jun Yoo

    2 IEICE TE 2003

    Low Power Motion Estimation and Motion Compensation Block IPs in MPEG-4 Video Codec Hardware for Portable Applications

    Author | Chi-Weon Yoon and Hoi-Jun Yoo

    3 EL 2003

    2.5Gbps CMOS transimpedance amplifier for optical communication applications

    Author | Sung Min Park and Hoi-Jun Yoo

    4 JSSC 2002

    A 120-mW 3D rendering engine with 6-Mb embedded DRAM and 3.2GB/s runtime reconfigurable bus for PDA chip

    Author | Ramchan Woo, Chi-Weon Yoon, Jeonghoon Koo, Se-Joong Lee, and Hoi-Jun Yoo

    5 JSSC 2002

    A Reconfigurable Multilevel Parallel Texture Cache Memory With 75-GB/s Parallel Cache Replacement Bandwidth

    Author | Se-Jeong Park, Jeong-Su Kim, Ramchan Woo, Se-Joong Lee, Kangmin Lee, Tae-Hum Yang, Jin-Yong Jung, an…

    6 IEICE TE 2002

    Embedded DRAM (eDRAM) Power Energy Estimation Using Signal Swing-Based Analytical Model

    Author | Yong-Ha Park

    7 EL 2002

    A Bit-Wise Read-Compare-Write Scheme for Low Power Read-Modify-Write Scheme

    Author | Yong-Ha Park, Sungdae Choi, and Hoi-Jun Yoo

    8 JSSC 2002

    Race Logic Architecture (RALA): A Novel Logic Concept Using the Race Scheme of Input Variables

    Author | Se-Joong Lee and Hoi-Jun Yoo

    9 JSSC 2001

    An 80/20-MHz 160-mW Multimedia Processor Integrated with Embedded DRAM, MPEG-4 Accelerator, and 3-D Rendering Engine for Mobile Applications

    Author | Chi-Weon Yoon, Ramchan Woo, Jeonghoon Kook, Se-Joong Lee, Kangmin Lee, and Hoi-Jun Yoo

    10 EL 2001

    Hidden Double Data Transfer Scheme for MDL Design

    Author | Se-Jeong Park and Hoi-Jun Yoo

    11 JSSC 2001

    A 7.1GB/s Low Power 3D Rendering Engine in 2D Array Embedded Memory Logic CMOS for Portable Multimedia System

    Author | Yong-Ha Park, Seon-Ho Han, Jeong-Hwan Lee, and Hoi-Jun Yoo

    12 JSTS 2001

    POPeye: A Simulator for a DRAM Performance Evaluation

    Author | Kangmin Lee, Chi-Weon Yoon, Ramchan Woo, Jeonghoon Kook, and Hoi-Jun Yoo

Year 1996 ~ 1999
  • No. Publication Title
    1 EL 1999

    Fast Lock-On Time Mixed Mode DLL With 10ps Jitter

    Author | Seon-Ho Han, Joo-Ho Lee, and Hoi-Jun Yoo

    2 EL 1998

    Boosted Charge Transfer Preamplifier For Low Power Gb-Scale GRAM Electron

    Author | Jong-Shik Kim, Hoi-jun Yoo, and Kwang-seok Seo

    3 TCAS-II 1998

    Dual VT Self-timed CMOS Logic for Low Subthreshold Current Multi-gigabit Synchronous DRAM

    Author | Hoi-Jun Yoo

    4 JSSC 1998

    A Low Noise Folded Bit-Line Sensing Architecture for Multi-Gb DRAM with Ultra High Density 6F2 Cell

    Author | Jong-Shik Kim, Yu-soo Choi, Hoi-Jun Yoo, and Kwang-seok Seo

    5 JSSC 1997

    A Study of Pipeline Architectures for High Speed Synchronous DRAM

    Author | Hoi-Jun Yoo

    6 IEICE TE 1997

    A Low Voltage High Speed Self-Timed CMOS Logic for the Multi-giga bit Synchronous DRAM Application

    Author | Hoi-Jun Yoo

    7 SSE 1997

    An Analytical Model for the Effect of Graded Gate Oxide on the Channel Electric Field in MOSFET's with Lightly Doped Drain Structure

    Author | J.S.Kim, Hoi-Jun Yoo, and K.S. Seo

    8 EL 1996

    High Speed Latchup Resistant CMOS Data Output Buffer for Sub-micron DRAM Application

    Author | Hoi-Jun Yoo

Address#1233, School of Electrical Engineering, KAIST, 291 Daehak-ro (373-1 Guseong-dong), Yuseong-gu, Daejeon 34141, Republic of Korea
Tel +82-42-350-8068 Fax +82-42-350-3410E-mail sslmaster@kaist.ac.kr·© SSL. All Rights Reserved.·Design by NSTAR