본문 바로가기
로그인

Semiconductor System Lab

PUBLICATION

Semiconductor System Lab

Through this homepage, we would like to share our sweats, pains,
excitements and experiences with you.

INTERNATIONAL CONFERENCE PAPER

Year 2024
  • No. Publication Title
    1 S.VLSI 2024

    NeRF-Navi: A 93.6-202.9μJ/task Switchable Approximate-Accurate NeRF Path Planning Processor with Dual Attention Engine and Outlier Bit-Offloading Core

    Author | Seryeong Kim, Seokchan Song, Wonhoon Park, Junha Ryu, Sangyeob Kim, Gwangtae Park, Soyeon Kim, and H…

    2 S.VLSI 2024

    Dyamond: A 1T1C DRAM In-memory Computing Accelerator with Compact MAC-SIMD and Adaptive Column Addition Dataflow

    Author | Seongyon Hong, Wooyoung Jo, Sangjin Kim, Sangyeob Kim, Kyomin Sohn, and Hoi-Jun Yoo

    3 HPCA 2024

    LUTein: Dense-Sparse Bit-slice Architecture with Radix-4 LUT-based Slice-Tensor Processing Units

    Author | Dongseok Im, Hoi-Jun Yoo

    4 COOLCHIPS 2024

    NoPIM: Functional Network-on-Chip Architecture for Scalable High-Density Processing-in-Memory-based Accelerator

    Author | Sangjin Kim, Zhiyong Li, Soyeon Um, Wooyoung Jo, Sangwoo Ha, Sangyeob Kim and Hoi-Jun Yoo

    5 COOLCHIPS 2024

    A Low-power and Real-time Neural-Rendering Dense SLAM Processor with 3-Level Hierarchical Sparsity Exploitation

    Author | Gwangtae Park, Seokchan Song, Haoyang Sang, Dongseok Im, Donghyeon Han, Sangyeob Kim, Hongseok Lee a…

    6 COOLCHIPS 2024

    A Low-Power Neural Graphics System for Instant 3D Modeling and Real-Time Rendering on Mobile AR/VR Devices

    Author | Junha Ryu, Hankyul Kwon, Wonhoon Park, Zhiyong Li, Beomseok Kwon, Donghyeon Han, Dongseok Im, Sangye…

    7 AICAS 2024

    DualNet: Efficient Integration of Artificial Neural Network and Spiking Neural Network with Equivalent Conversion

    Author | Seongyon Hong, Sangyeob Kim, Soyeon Kim, and Hoi-Jun Yoo

    8 ISCAS 2024

    Two-Step Spike Encoding Scheme and Architecture for Highly Sparse Spiking-Neural-Network

    Author | Sangyeob Kim, Sangjin Kim, Soyeon Um, Soyeon Kim, and Hoi-Jun Yoo

    9 ISCAS 2024

    An 2.31uJ/Inference Ultra-Low Power Always-on Event-Driven AI-IoT SoC with Switchable nvSRAM Compute-in-Memory Macro

    Author | Haoyang Sang, Wenao Xie, Gwangtae Park, and Hoi-Jun Yoo

    10 ISCAS 2024

    A 28.6 mJ/iter Stable Diffusion Processor for Text-to-Image Generation with Patch Similarity-Based Sparsity Augmentation and Text-Based Mixed-Precision

    Author | Jiwon Choi, Wooyoung Jo, Seongyon Hong, Beomseok Kwon, Wonhoon Park, and Hoi-Jun Yoo

    11 ISCAS 2024

    A 8.81 TFLOPS/W Deep-Reinforcement-Learning Accelerator with Delta-Based Weight Sharing and Block-Mantissa Reconfigurable Pe Array

    Author | Sanghyuk An, Junha Ryu, Gwangtae Park, and Hoi-Jun Yoo

    12 ISCAS 2024

    A 3.55 mJ/Frame Energy-Efficient Mixed-Transformer Based Semantic Segmentation Accelerator for Mobile Devices

    Author | Jongjun Park, Seryeong Kim, Wonhoon Park, Seokchan Song, and Hoi-Jun Yoo

    13 ISSCC 2024

    C-Transformer: A 2.6-18.1μJ/Token Homogeneous DNN-Transformer/Spiking-Transformer Processor with Big-Little Network and Implicit Weight Generation for Large Language Models

    Author | Sangyeob Kim, Sangjin Kim, Wooyoung Jo, Soyeon Kim, Seongyon Hong, and Hoi-Jun Yoo

    14 ISSCC 2024

    Space-Mate: A 303.5mW Real-Time Sparse Mixture-of-Experts-Based NeRF-SLAM Processor for Mobile Spatial Computing

    Author | Gwangtae Park, Seokchan Song, Haoyang Sang, Dongseok Im, Donghyeon Han, Sangyeob Kim, Hongseok Lee, …

    15 ISSCC 2024

    NeuGPU: A 18.5 mJ/Iter Neural-Graphics Processing Unit for Instant-Modeling and Real-Time Rendering with Segmented-Hashing Architecture

    Author | Junha Ryu, Hankyul Kwon, Wonhoon Park, Zhiyong Li, Beomseok Kwon, Donghyeon Han, Dongseok Im, Sangye…

Year 2023
  • No. Publication Title
    1 ASSCC 2023

    An Energy-Efficient Heterogenous Fourier Transform-based Transformer Accelerator with Frequency-wise Dynamic Bit-precision

    Author | Jingu Lee, Sangjin Kim, Wooyoung Jo, and Hoi-Jun Yoo

    2 ASSCC 2023

    A 33.58 FPS Embedding based Real-time Neural Rendering Accelerator with Switchable Computation Skipping Architecture on Edge Device

    Author | Jongjun Park, Donghyeon Han, Junha Ryu, Dongseok Im, Gwangtae Park, and Hoi-jun Yoo

    3 ASSCC 2023

    A Resource-Efficient Super-Resolution FPGA Processor with Heterogeneous CNN and SNN Core Architecture

    Author | Jiwon Choi, Sangyeob Kim, Wonhoon Park, Wooyoung Jo, and Hoi-Jun Yoo

    4 ASSCC 2023

    LOG-CIM: A 116.4 TOPS/W Digital Computing-In-Memory Processor Supporting a Wide Range of Logarithmic Quantization with Zero-Aware 6T Dual-WL Cell

    Author | Soyeon Um, Sangjin Kim, Seongyon Hong, Sangyeob Kim, and Hoi-Jun Yoo

    5 ESSCIRC 2023

    A 3.8 mW 1.9 mΩ/√Hz Electrical Impedance Tomography Imaging with 28.4 MΩ High Input Impedance and Loading Calibration

    Author | Soyeon Um, Jaehyuk Lee, and Hoi-Jun Yoo

    6 S.VLSI 2023

    NeRPIM: A 4.2 mJ/frame Neural Rendering Processing-in-memory Processor with Space Encoding Block-wise Mapping for Mobile Devices

    Author | Wooyoung Jo, Sangjin Kim, Juhyoung Lee, Donghyeon Han, Sangyeob Kim, Seungyoon Choi, and Hoi-Jun Yoo

    7 S.VLSI 2023

    GPPU: A 330.4-µJ/task Neural Path Planning Processor with Hybrid GNN Acceleration for Autonomous 3D Navigation

    Author | Seokchan Song, Donghyeon Han, Sangjin Kim, Sangyeob Kim, Gwangtae Park, and Hoi-Jun Yoo

    8 S.VLSI 2023

    A 709.3 TOPS/W Event-Driven Smart Vision SoC with High-Linearity and Reconfigurable MRAM PIM

    Author | Wenao Xie, Haoyang Sang, Beomseok Kwon, Dongseok Im, Sangjin Kim, Sangyeob Kim, and Hoi-Jun Yoo

    9 S.VLSI 2023

    Scaling-CIM: An eDRAM-based In-Memory-Computing Accelerator with Dynamic-Scaling ADC for SQNR-Boosting and Layer-wise Adaptive Bit-Truncation

    Author | Sangjin Kim, Soyeon Um, Wooyoung Jo, Jingu Lee, Sangwoo Ha, Zhiyong Li, and Hoi-Jun Yoo

    10 COOLCHIPS 2023

    A Low-power Neural 3D Rendering Processor with Bio-inspired Visual Perception Core and Hybrid DNN Acceleration

    Author | Donghyeon Han, Junha Ryu, Sangyeob Kim, Sangjin Kim, Jongjun Park, and Hoi-Jun Yoo

    11 COOLCHIPS 2023

    COOL-NPU: Complementary Online Learning Neural Processing Unit with CNN-SNN Heterogeneous Core and Event-driven Backpropagation

    Author | Sangyeob Kim, Soyeon Kim, Seongyon Hong, Sangjin Kim, Donghyeon Han, Jiwon Choi, and Hoi-Jun Yoo

    12 ISCAS 2023

    A 332 TOPS/W Input/Weight-Parallel Computing-in-Memory Processor with Voltage-Capacitance-Ratio Cell and Time-Based ADC

    Author | Seongyon Hong, Soyeon Um, Sangjin Kim, Sangyeob Kim, Wooyoung Jo, and Hoi-Jun Yoo

    13 ISCAS 2023

    A 92 fps and 2.56 mJ/Frame Computing-in-Memory-Based Human Pose Estimation Accelerator with Resource-Efficient Macro for Mobile Devices

    Author | Beomseok Kwon, Zhiyong Li, Sangjin Kim, Wooyoung Jo, and Hoi-Jun Yoo

    14 ISCAS 2023

    A 15.9 mW 96.5 fps Memory-Efficient 3D Reconstruction Processor with Dilation-based TSDF Fusion and Block-Projection Cache System

    Author | Hankyul Kwon, Gwangtae Park, Junha Ryu, Wooyoung Jo, and Hoi-Jun Yoo

    15 ISCAS 2023

    A 5.99 TFLOPS/W Heterogeneous CIM-NPU Architecture for an Energy Efficient Floating-Point DNN Acceleration

    Author | Wonhoon Park, Junha Ryu, Sangjin Kim, Soyeon Um, Wooyoung Jo, Sangyeob Kim, and Hoi-Jun Yoo

    16 ISCAS 2023

    A Reconfigurable 1T1C eDRAM-based Spiking Neural Network Computing-In-Memory Processor for High System-Level Efficiency

    Author | Seryeong Kim, Soyeon Kim, Soyeon Um, Sangjin Kim, Zhiyong Li, Sangyeob Kim, Wooyoung Jo, and Hoi-jun…

    17 HPCA 2023

    Sibia: Signed Bit-slice Architecture for Dense DNN Acceleration with Slice-level Sparsity Exploitation

    Author | Dongseok Im, Gwangtae Park, Zhiyong Li, Junha Ryu, and Hoi-Jun Yoo

    18 ISSCC 2023

    MetaVRain: A 133mW Real-time Hyper-realistic-3D-NeRF Processor with 1D-2D Hybrid-Neural-Engines for Metaverse on Mobile Devices

    Author | Donghyeon Han, Junha Ryu, Sangyeob Kim, Sangjin Kim, and Hoi-Jun Yoo

    19 ISSCC 2023

    C-DNN: A 24.5-to-85.8TOPS/W Complementary-Deep-Neural-Network Processor with Heterogeneous CNN/SNN Core Architecture and Forward-Gradient-based Sparsity Generation

    Author | Sangyeob Kim, Soyeon Kim, Seongyon Hong, Sangjin Kim, Donghyeon Han, and Hoi-Jun Yoo

    20 ISSCC 2023

    DynaPlasia: An eDRAM In-Memory-Computing-Based Reconfigurable Spatial Accelerator with Triple-Mode Cell for Dynamic Resource Switching

    Author | Sangjin Kim, Zhiyong Li, Soyeon Um, Wooyoung Jo, Sangwoo Ha, Juhyoung Lee, Sangyeob Kim, Donghyeon H…

Year 2022
  • No. Publication Title
    1 ASSCC 2022

    An Efficient Unsupervised Learning-based Monocular Depth Estimation Processor with Partial-Switchable  Systolic Array Architecture in Edge Devices

    Author | Wonhoon Park, Dongseok Im, Hankyul Kwon, and Hoi-Jun Yoo

    2 ASSCC 2022

    SNPU: Always-on 63.2μW Face Recognition Spike Domain Convolutional Neural Network Processor with Spike Train Decomposition and Shift-and-Accumulation Unit

    Author | Sangyeob Kim, Sangjin Kim, Soyeon Um, Soyeon Kim, Juhyoung Lee and Hoi-Jun Yoo

    3 HOTCHIPS 2022

    An Energy-efficient High-quality FHD Super-resolution Mobile Accelerator SoC with Hybrid-precision and Energy-efficient Cache Subsystem

    Author | Zhiyong Li, Sangjin Kim, Dongseok Im, Donghyeon Han, and Hoi-Jun Yoo

    4 HOTCHIPS 2022

    Neuro-CIM: A 310.4 TOPS/W Neuromorphic Computing-in-Memory Processor with Low WL/BL activity and Digital-Analog Mixed-mode Neuron Firing

    Author | Sangyeob Kim, Sangjin Kim, Soyeon Um, Soyeon Kim, Kwantae Kim, and Hoi-Jun Yoo

    5 HOTCHIPS 2022

    DSPU: A 281.6mW Real-Time Deep Learning-Based Dense RGB-D Data Acquisition with Sensor Fusion and 3D Perception System-on-Chip

    Author | Dongseok Im, Gwangtae Park, Junha Ryu, Zhiyong Li, Sanghoon Kang, Donghyeon Han, Jinsu Lee, Wonhoon …

    6 HOTCHIPS 2022

    HNPU-V2: A 46.6 FPS DNN Training Processor for Real-World Environmental Adaptation based Robust Object Detection on Mobile Devices

    Author | Donghyeon Han, Dongseok Im, Gwangtae Park, Youngwoo Kim, Seokchan Song, Juhyoung Lee, and Hoi-Jun Yo…

    7 S. VLSI 2022

    Neuro-CIM: A 310.4 TOPS/W Neuromorphic Computing-in-Memory Processor with Low WL/BL activity and Digital-Analog Mixed-mode Neuron Firing

    Author | Sangyeob Kim, Sangjin Kim, Soyeon Um, Soyeon Kim, Kwantae Kim, and Hoi-Jun Yoo

    8 AICAS 2022

    Low-power Autonomous Adaptation System with Deep Reinforcement Learning

    Author | Juhyoung Lee, Wooyoung Jo, Seong-Wook Park, and Hoi-Jun Yoo

    9 AICAS 2022

    A 0.95 mJ/frame DNN Training Processor for Robust Object Detection with Real-World Environmental Adaptation

    Author | Donghyeon Han, Dongseok Im, Gwangtae Park, Youngwoo Kim, Seokchan Song, Juhyoung Lee, and Hoi-Jun Yo…

    10 COOLCHIPS 2022

    A Low-power and Real-time 3D Object Recognition Processor with Dense RGB-D Data Acquisition in Mobile Platforms

    Author | Dongseok Im, Gwangtae Park, Junha Ryu, Zhiyong Li, Sanghoon Kang, Donghyeon Han, Jinsu Lee, Wonhoon …

    11 ISCAS 2022

    A 49.5 mW Multi-Scale Linear Quantized Online Learning Processor for Real-Time Adaptive Object Detection

    Author | Seokchan Song, Soyeon Kim, Gwangtae Park, Donghyeon Han, and Hoi-Jun Yoo

    12 ISCAS 2022

    A 161.6 TOPS/W Mixed-Mode Computing-in-Memory Processor for Energy-Efficient Mixed-Precision Deep Neural Networks

    Author | Wooyoung Jo, Sangjin Kim, Juhyoung Lee, Soyeon Um, Zhiyong Li, and Hoi-Jun Yoo

    13 ISCAS 2022

    A 36.2 dB High SNR and PVT/Leakage-robust eDRAM Computing-In-Memory Macro with Segmented BL and Reference Cell Array

    Author | Sangwoo Ha, Sangjin Kim, Donghyeon Han, Soyeon Um, and Hoi-Jun Yoo

    14 CICC 2022

    An 0.92 mJ/frame High-quality FHD Super-resolution Mobile Accelerator SoC with Hybrid-precision and Energy-efficient Cache

    Author | Zhiyong Li, Sangjin Kim, Dongseok Im, Donghyeon Han, and Hoi-Jun Yoo

    15 ISSCC 2022

    DSPU: A 281.6mW Real-Time Depth Signal Processing Unit for Deep Learning- Based Dense RGB-D Data Acquisition with Depth Fusion and 3D Bounding Box Extraction in Mobile Platforms

    Author | Dongseok Im, Gwangtae Park, Zhiyong Li, Junha Ryu, Sanghoon Kang, Donghyeon Han, Jinsu Lee, and Hoi-…

    16 ISSCC 2022

    A 23μW Solar-Powered Keyword-Spotting ASIC with Ring-Oscillator-Based Time- Domain Feature Extraction

    Author | Kwantae Kim, Chang Gao, Rui Graça, Ilya Kiselev, Hoi-Jun Yoo, Tobi Delbruck, and Shih-Chii Liu

Year 2021
  • No. Publication Title
    1 IEDM 2021

    AI SoCs for AR/VR User-Interaction

    Author | Junha Ryu, Dongseok Im, and Hoi-Jun Yoo

    2 ASSCC 2021

    FlashMAC: An Energy-Efficient Analog-Digital Hybrid MAC with Variable Latency-Aware Scheduling

    Author | Surin Gweon, Sanghoon Kang, Donghyeon Han, Kyoung-Rog Lee, Kwantae Kim, and Hoi-Jun Yoo

    3 ASSCC 2021

    An Energy-Efficient Deep Reinforcement Learning FPGA Accelerator for Online Fast Adaptation with Selective Mixed-Precision Re-Training

    Author | Wooyoung Jo, Juhyoung Lee, Seunghyun Park, and Hoi-Jun Yoo

    4 HOTCHIPS 2021

    OmniDRL: An Energy-Efficient Mobile Deep Reinforcement Learning Accelerators with Dual-mode Weight Compression and Direct Processing of Compressed Data

    Author | Juhyoung Lee, Sangyeob Kim, Jihoon Kim, Sangjin Kim, Wooyoung Jo, Donghyeon Han, and Hoi-Jun Yoo

    5 HOTCHIPS 2021

    An Energy-efficient Floating-Point DNN Processor using Heterogeneous Computing Architecture with Exponent-Computing-in-Memory

    Author | Juhyoung Lee, Jihoon Kim, Wooyoung Jo, Sangyeob Kim, Sangjin Kim, Donghyeon Han, Jinsu Lee, and Hoi-…

    6 HOTCHIPS 2021

    PNNPU: A Fast and Efficient 3D Point Cloud-based Neural Network Processor with Block-based Point Processing for Regular DRAM Access

    Author | Sangjin Kim, Juhyoung Lee, Dongseok Im, Hoi-jun Yoo

    7 AICAS 2021

    Energy-Efficient Deep Reinforcement Learning Accelerator Designs for Mobile Autonomous Systems

    Author | Juhyoung Lee, Changhyeon Kim, Donghyeon Han, Sangyeob Kim, Sangjin Kim, and Hoi-Jun Yoo

    8 S. VLSI 2021

    PNNPU: A 11.9 TOPS/W High-speed 3D Point Cloud-based Neural Network Processor with Block-based Point Processing for Regular DRAM Access

    Author | Sangjin Kim, Juhyoung Lee, Dongseok Im, and Hoi-Jun Yoo

    9 S. VLSI 2021

    OmniDRL: A 29.3 TFLOPS/W Deep Reinforcement Learning Processor with Dual-mode Weight Compression and On-chip Sparse Weight Transposer

    Author | Juhyoung Lee, Sangyeob Kim, Sangjin Kim, Wooyoung Jo, Donghyeon Han, Jinsu Lee, and Hoi-Jun Yoo

    10 S. VLSI 2021

    A 13.7 TFLOPS/W Floating-point DNN Processor using Heterogeneous Computing Architecture with Exponent-Computing-in-Memory

    Author | Juhyoung Lee, Jihoon Kim, Wooyoung Jo, Sangyeob Kim, Sangjin Kim, Jinsu Lee, and Hoi-Jun Yoo

    11 COOLChips 2021

    An Energy-efficient Deep Neural Network Training Processor with Bit-slice-level Reconfigurability and Sparsity Exploitation

    Author | Donghyeon Han, Dongseok Im, Gwangtae Park, Youngwoo Kim, Seokchan Song, Juhyoung Lee, and Hoi-Jun Yo…

    12 ISCAS 2021

    A 0.82 μW CIS-based Action Recognition SoC with Self-Adjustable Frame Resolution for Always-on IoT Devices

    Author | Junha Ryu, Gwangtae Park, Dongseok Im, Ji-Hoon Kim, and Hoi-Jun Yoo

    13 ISCAS 2021

    A 43.1TOPS/W Energy-Efficient Absolute-Difference-Accumulation Operation Computing-In-Memory with Computation Reuse

    Author | Soyeon Um, Sangyeob Kim, Sangjin Kim, and Hoi-Jun Yoo

    14 ISCAS 2021

    A 64.1mW Accurate Real-time Visual Object Tracking Processor with Spatial Early Stopping on Siamese Network

    Author | Soyeon Kim, Sangjin Kim, Sangyeob Kim, Donghyeon Han, and Hoi-Jun Yoo

    15 ISCAS 2021

    A 3.6 TOPS/W Hybrid FP-FXP Deep Learning Processor with Outlier Compensation for Image-to-Image Application

    Author | Zhiyong Li, Dongseok Im, Jinsu Lee, and Hoi-Jun Yoo

Year 2020
  • No. Publication Title
    1 ASSCC 2020

    A 1.02 μW STT-MRAM based DNN ECG Arrhythmia Monitoring SoC with Leakage-Based Delay MAC Unit

    Author | Kyoung-Rog Lee, Jihoon Kim, Changhyeon Kim, Donghyeon Han, Juhyoung Lee, Jinsu Lee, Hongsik Jeong, a…

    2 ASSCC 2020

    An Energy-Efficient GAN Accelerator with On-chip Training for Domain Specific Optimization

    Author | Soyeon Kim, Sanghoon Kang, Donghyeon Han, Sangyeob Kim, Sangjin Kim, and Hoi-jun Yoo

    3 HOTCHIPS 2020

    GANPU: A Versatile Many-Core Processor for Training GAN on Mobile Devices with Speculative Dual-Sparsity Exploitation

    Author | Sanghoon Kang, Donghyeon Han, Juhyoung Lee, Dongseok Im, Sangyeob Kim, Soyeon Kim, Junha Ryu, and Ho…

    4 CICC 2020

    A 9.6 mW/Ch 10 MHz Wide-bandwidth Electrical Impedance Tomography IC with Accurate Phase Compensation for Breast Cancer Detection

    Author | Jaehyuk Lee, Surin Gweon, Kwonjoon Lee, Soyeon Um, Kyoung-Rog Lee, Kwantae Kim, Jihee Lee, and Hoi-…

    5 S. VLSI 2020

    PNPU: A 146.52TOPS/W Deep-Neural-Network Learning Processor with Stochastic Coarse-Fine Pruning and Adaptive Input/Output/Weight Skipping

    Author | Sangyeob Kim, Juhyoung Lee, Sanghoon Kang, Jinmook Lee and Hoi-Jun Yoo

    6 S. VLSI 2020

    A 4.45 ms Low-latency 3D Point-cloud-based Neural Network Processor for Hand Pose Estimation in Immersive Wearable Devices

    Author | Dongseok Im, Sanghoon Kang, Donghyeon Han, Sungpill Choi, and Hoi-Jun Yoo

    7 S. VLSI 2020

    Z-PIM: An Energy-Efficient Sparsity-Aware Processing-In-Memory Architecture with Fully-Variable Weight Precision

    Author | Ji-Hoon Kim, Juhyoung Lee, Jinsu Lee, Hoi-Jun Yoo and Joo-Young Kim

    8 S. VLSI 2020

    A 0.5V, 6.2μW, 0.059mm² Sinusoidal Current Generator IC with 0.088% THD for Bio-Impedance Sensing

    Author | Kwantae Kim, Changhyeon Kim, Sungpill Choi, and Hoi-Jun Yoo

    9 ISCAS 2020

    A 54.7 fps 3D Point Cloud Semantic Segmentation Processor with Sparse Grouping Based Dilated Graph Convolutional Network for Mobile Devices

    Author | Sangjin Kim, Sangyeob Kim, Juhyoung Lee, and Hoi-Jun Yoo

    10 ISCAS 2020

    A 0.22–0.89 mW Low-Power and Highly-Secure Always-on Face Recognition Processor with Adversarial Attack Prevention

    Author | Youngwoo Kim, Donghyeon Han, Changhyeon Kim, and Hoi-Jun Yoo

    11 ISSCC 2020

    GANPU: A 135TFLOPS/W Multi-DNN Training Processor for GANs with Speculative Dual-Sparsity Exploitation

    Author | Sanghoon Kang, Donghyeon Han, Juhyoung Lee, Dongseok Im, Sangyeob Kim, Soyeon Kim, Hoi-Jun Yoo

Year 2019
  • No. Publication Title
    1 ICCV 2019

    Direct Feedback Alignment based Convolutional Neural Network Training for Low-power Online Learning Processor

    Author | Donghyeon Han and Hoi-Jun Yoo

    2 ASSCC 2019

    A Battery-Less 31 mW HBC Receiver with RF Energy Harvester for Implantable Devices

    Author | Jihee Lee, Jaeeun Jang, Jaehyuk Lee, Hoi-Jun Yoo

    3 HOTCHIPS 2019

    LNPU: An Energy-Efficient Deep-Neural-Network Training Processor with Fine-Grained Mixed Precision

    Author | Jinsu Lee, Juhyoung Lee, Donghyeon Han, Jinmook Lee, Gwangtae Park, and Hoi-Jun Yoo

    4 CICC 2019

    Mobile Deep Learning Processors on the Edge

    Author | Hoi-Jun Yoo

    5 CICC 2019

    Understanding Body Channel Communication A review : from history to the future applications

    Author | Jaeeun Jang, Joonsung Bae, Hoi-jun Yoo

    6 ISCAS 2019

    93.8% Current Efficiency and 0.672 ns Transient Response Reconfigurable LDO for Wireless Sensor Network Systems

    Author | Surin Gweon, Jaehyuk Lee, Kwantae Kim, and Hoi-Jun Yoo

    7 ISCAS 2019

    A 15.2 TOPS/W CNN Accelerator with Similar Feature Skipping for Face Recognition in Mobile Devices

    Author | Sangyeob Kim, Juhyoung Lee, Sanghoon Kang, Jinsu Lee, and Hoi-Jun Yoo

    8 ISCAS 2019

    DT-CNN: Dilated and Transposed Convolution Neural Network Accelerator for Real-time Image Segmentation on Mobile Devices

    Author | Dongseok Im, Donghyeon Han, Sungpill Choi, Sanghoon Kang, and Hoi-Jun Yoo

    9 ISCAS 2019

    An Ultra-Low-Power Analog-Digital Hybrid CNN Face Recognition Processor Integrated with a CIS for Always-on Mobile Devices

    Author | Ji-Hoon Kim, Changhyeon Kim, Kwantae Kim and Hoi-Jun Yoo

    10 S. VLSI 2019

    A 1.32 TOPS/W Energy Efficient Deep Neural Network Learning Processor with Direct Feedback Alignment based Heterogeneous Core Architecture

    Author | Donghyeon Han, Jinsu Lee, Jinmook Lee, and Hoi-Jun Yoo

    11 S. VLSI 2019

    A Full HD 60 fps CNN Super Resolution Processor with Selective Caching based Layer Fusion for Mobile Devices

    Author | Juhyoung Lee, Dongjoo Shin, Jinsu Lee, Jinmook Lee, Sanghoon Kang and Hoi-Jun Yoo

    12 AICAS 2019

    CNNP-v2:An Energy Efficient Memory-Centric Convolutional Neural Network Processor Architecture

    Author | Sungpill Choi, Kyeongryeol Bong, Donghyeon Han, Hoi-Jun Yoo

    13 ISSCC 2019

    A 0.5V 9.26μW 15.28mΩ/√Hz Bio-Impedance Sensor IC with 0.55° Overall Phase Error

    Author | Kwantae Kim, Jihoon Kim, Surin Gweon, Jiwon Lee, Minseo Kim, Yongsu Lee, Soyeon Kim, and Hoi-Jun Yoo

    14 ISSCC 2019

    A 7.0fps Optical and Electrical Dual Tomographic Imaging SoC for Skin-Disease Diagnosis System

    Author | Yongsu Lee, Kwantae Kim, Jiwon Lee, Kyoung-Rog Lee, Surin Gweon, Minseo Kim, and Hoi-Jun Yoo

    15 ISSCC 2019

    A 5.37mW/Channel Pitch-Matched Ultrasound ASIC with Dynamic-Bit-Shared SAR ADC and 13.2V Charge-Recycling TX in Standard CMOS for Intracardiac Echocardiography

    Author | Jihee Lee, Kyoung-Rog Lee,Benjamin E. Eovino, Jeonghoan Park, Liwei Lin, Hoi-Jun Yoo, and Jerald Yoo

    16 ISSCC 2019

    LNPU: A 25.3TFLOPS/W Sparse Deep-Neural-Network Learning Processor with Fine-Grained Mixed Precision of FP8-FP16

    Author | Jinsu Lee, Juhyoung Lee, Donghyeon Han, Jinmook Lee, Gwangtae Park, and Hoi-Jun Yoo

    17 ISSCC 2019

    A 2.1TFLOPS/W Mobile Deep RL Accelerator with Transposable PE Array and Experience Compression

    Author | Changhyeon Kim, Sanghoon Kang, Donjoo Shin, Sungpill Choi, Youngwoo Kim, and Hoi-Jun Yoo

    18 ISSCC 2019

    Intelligence on Silicon: From Deep-Neural-Network Accelerators to Brain Mimicking AI-SoCs

    Author | Hoi-Jun Yoo

Year 2018
  • No. Publication Title
    1 BIOCAS 2018

    A Capsule Endoscope System for Wide Visualization Field and Location Tracking

    Author | Jaeeun Jang, Jihee Lee, Kyoung-Rog Lee, Jiwon Lee, Minseo Kim, Yongsu Lee, Joonsung Bae, and Hoi-Jun…

    2 HOTCHIPS 2018

    An Energy-Efficient Unified Deep Neural Network Accelerator with Fully-Variable Weight Precision for Mobile Deep Learning Applications

    Author | Jinmook Lee, Changhyeon Kim, Sanghoon Kang, Dongjoo Shin, Sangyeob Kim and Hoi-Jun Yoo

    3 S. VLSI 2018

    A 0.8V 82.9μW In-ear BCI Controller System with 8.8 PEF EEG Instrumentational Amplifier and Wireless BAN Transceiver

    Author | Jaehyuk Lee, Kyoung-Rog Lee, Unsoo Ha, Ji-Hoon Kim, Kwonjoon Lee, and Hoi-jun Yoo

    4 S. VLSI 2018

    B-Face: 0.2 mW CNN-Based Face Recognition Processor with Face Alignment for Mobile User Identification

    Author | Sanghoon Kang, Jinmook Lee, Changhyeon Kim, and Hoi-jun Yoo

    5 ISCAS 2018

    A 141.4 mW Low-Power Online Deep Neural Network Training Processor for Real-time Object Tracking in Mobile Devices

    Author | Donghyeon Han, Jinsu Lee, Jinmook Lee, Sungpill Choi, and Hoi-jun Yoo

    6 ISCAS 2018

    A 46.1 fps Global Matching Optical Flow Estimation Processor for Action Recognition in Mobile Devices

    Author | Juhyoung Lee, Changhyeon Kim, Sungpill Choi, Dongjoo Shin, Sanghoon Kang, and Hoi-jun Yoo

    7 ISCAS 2018

    A 0.78 mW Low-Power 4.02 High-Compression Ratio Less than 10−6 BER Error-Tolerant Lossless Image Compression Hardware for Wireless Capsule Endoscopy System

    Author | Jiwon Lee, Kyoung-Rog Lee, and Hoi-jun Yoo

    8 ISSCC 2018

    A 9.02mW CNN-Stereo based Real-time 3D Hand Gesture Recognition Processor for Smart Mobile Devices

    Author | Sungpill Choi, Jinsu Lee, Kyuho Lee, Hoi-jun Yoo

    9 ISSCC 2018

    UNPU: A 50.6TOPS/W Energy-Efficient Unified Deep Neural-Network Accelerator with 1-to-16b Fully Variable Bit Precision

    Author | Jinmook Lee, Changhyeon Kim, Sanghoon Kang, Dongjoo Shin, Sangyeob Kim, Hoi-Jun Yoo

    10 ISSCC 2018

    4-Camera VGA Resolution Capsule Endoscope with 80Mb/s Body Channel Communication Transceiver and Sub-cm Range Capsule Localization

    Author | Jaeeun Jang, Jihee Lee, Kyoung-Rog Lee, Jiwon Lee, Minseo Kim, Yongsu Lee, Joonsung Bae, Hoi-Jun Yoo

Year 2017
  • No. Publication Title
    1 BioCAS 2017

    A Multimodal Headpatch System for Patient Brain Monitoring in OR and PACU

    Author | Unsoo Ha, Hoi-Jun Yoo and Joonsung Bae

    2 BioCAS 2017

    Wearable 3D Lung Ventilation Monitoring System with Multi Frequency Electrical Impedance Tomography

    Author | Minseo Kim, Joonsung Bae, and Hoi-Jun Yoo

    3 ASSCC 2017

    A 1GHz fault tolerant processor with dynamic lockstep and self-recovering cache for ADAS SoC complying with ISO26262 in automotive electronics

    Author | Jinho Han, Youngsu Kwon, Yong Cheol Peter Cho, and Hoi-Jun Yoo

    4 ASSCC 2017

    A 21mW Low-power Recurrent Neural Network Accelerator with Quantization Tables for Embedded Deep Learning Applications

    Author | Jinmook Lee, Dongjoo Shin, and Hoi-Jun Yoo

    5 ASSCC 2017

    A 2.79-mW 0.5%-THD CMOS Current Driver IC for Portable Electrical Impedance Tomography System

    Author | Jaeeun Jang, Minseo Kim, Joonsung Bae, and Hoi-Jun Yoo

    6 SOCC 2017

    A 1.41mW On-chip/Off-chip Hybrid Transposition Table for Low-power Robust Deep Tree Search in Artifiicial Intelligence SoCs

    Author | Dongjoo Shin, Youchang Kim, and Hoi-Jun Yoo

    7 SOCC 2017

    A 590MDE/s Semi-Global Matching Processor with Lossless Data Compression

    Author | Kyeongryeol Bong, Kyuho Lee, and Hoi-Jun Yoo

    8 ESSCIRC 2017

    A 24 μW 38.51 mΩrms Resolution Bio-Impedance Sensor with Dual Path Instrumentation Amplifier

    Author | Kwantae Kim, Kiseok Song, Kyeongryeol Bong, Jaehyuk Lee, Kwonjoon Lee, Yongsu Lee, Unsoo Ha, and Hoi…

    9 ESSCIRC 2017

    An Ultra-Low-Power and Mixed-Mode Event-Driven Face Detection SoC for Always-on Mobile Applications

    Author | Changhyeon Kim, Kyeongryeol Bong, Injoon Hong, Kyuho Lee, Sungpill Choi, and Hoi-Jun Yoo

    10 ICVS 2017

    A Real-Time and Energy-Efficient Embedded System for Intelligent ADAS with RNN-Based Deep Risk Prediction using Stereo Camera

    Author | Kyuho Lee, Gyeongmin Choe, Kyeongryeol Bong, Changhyeon Kim, In So Kweon, and Hoi-Jun Yoo

    11 HOTCHIPS 2017

    DNPU: An Energy-Efficient Deep Neural Network Processor with On-Chip Stereo Matching

    Author | Dongjoo Shin and Hoi-Jun Yoo

    12 EMBC 2017

    A 274μW Clock Synchronized Wireless Body Area Network IC with Super-Regenerative RSSI for Biomedical Ad-Hoc Network System

    Author | Yongsu Lee and Hoi-Jun Yoo

    13 EMBC 2017

    An Adaptive DC-Balanced and Multi-Mode Stimulator IC with 1GΩ Output Impedance for Compact Electro-Acupuncture System

    Author | Jiwon Lee, Minseo Kim, Kwantae Kim, Kiseok Song, Sanghoon Lee, Weon Kim, Jong Shin Woo, and Hoi-Jun …

    14 COOLChips 2017

    An Energy-Efficient Deep Learning Processor with Heterogeneous Multi-Core Architecture for Convolutional Neural Networks and Recurrent Neural Networks

    Author | Dongjoo Shin, Jinmook Lee, Jinsu Lee, Juhyoung Lee, Hoi-Jun Yoo

    15 S. VLSI 2017

    A 31.2pJ/disparity/pixel Stereo Matching Processor with Stereo SRAM for Mobile UI Application

    Author | Jinsu Lee, Dongjoo Shin, Kyuho Lee and Hoi-Jun Yoo

    16 ISCAS 2017

    A 0.53mW Ultra-Low-Power 3D Face Frontalization Processor for Face Recognition with Human-Level Accuracy in Wearable Devices

    Author | Sanghoon Kang, Jinmook Lee, Kyeongryeol Bong, Changhyeon Kim, and Hoi-Jun Yoo

    17 ISSCC 2017

    DNPU: An 8.1 TOPS/W Reconfigurable CNN-RNN Processor for General-Purpose Deep Neural Networks

    Author | Dongjoo Shin, Jinmook Lee, Jinsu Lee, and Hoi-Jun Yoo

    18 ISSCC 2017

    A 1.4mΩ-sensitivity 94dB-dynamic-range electrical impedance tomography SoC and 48-channel Hub SoC for 3D lung ventilation monitoring system

    Author | Minseo Kim, Hyunki Kim, Jaeeun Jang, Jihee Lee, Jaehyuk Lee, Jiwon Lee, Kyungrog Lee, Kwantae Kim, Y…

    19 ISSCC 2017

    A 0.62mW Ultra-low-power Convolutional Neural Network Face Recognition Processor and a CIS Integrated with Always-on Haar-like Face Detector

    Author | Kyeongryeol Bong, Sungpill Choi, Changhyeon Kim, Sanghoon Kang, Youchang Kim, Hoi-Jun Yoo

    20 ISSCC 2017

    A 25.2mW EEG-NIRS Multimodal SoC for Accurate Anesthesia Depth Monitoring

    Author | Unsoo Ha, Jaehyuk Lee, Jihee Lee, Kwantae Kim, Minseo Kim, Taehwan Roh, Sangsik Choi, and Hoi-Jun Yo…

Year 2016
  • No. Publication Title
    1 A-SSCC 2016

    A 34pJ/level·pixel Depth-estimation Processor with Shifter-based Pipelined Architecture for Mobile User Interface

    Author | Sungpill Choi, Seongwook Park, and Hoi-Jun Yoo

    2 A-SSCC 2016

    An EEG-NIRS Ear-Module SoC for Wearable Drowsiness Monitoring System

    Author | Unsoo Ha and Hoi-Jun Yoo

    3 BioCAS 2016

    A Multimodal Drowsiness Monitoring Ear-Module System with Closed-Loop Real-Time Alarm

    Author | Unsoo Ha and Hoi-Jun Yoo

    4 SOCC 2016

    Low-Power Real-Time Intelligent SoCs for Smart Machines

    Author | Youchang Kim, Injoon Hong, Seongwook Park, and Hoi-Jun Yoo

    5 HOTCHIPS 2016

    An Intelligent ADAS Processor with Real-Time Semi-Global Matching and Intention Prediction for 720p Stereo Vision

    Author | Kyuho Lee, Kyeongryeol Bong, Changhyeon Kim, and Hoi-Jun Yoo

    6 ESSCIRC 2016

    An 8.3mW 1.6Msamples/s Multi-modal Event-driven Speech Enhancement Processor for Robust Speech Recognition in Smart Glasses

    Author | Jinmook Lee, Seongwook Park, Injoon Hong, and Hoi-Jun Yoo

    7 ESSCIRC 2016

    A 82nW Chaotic-Map True Random Number Generator Based on Sub-Ranging SAR ADC

    Author | Minseo Kim, Unsoo Ha, Yongsu Lee, Kyuho Lee and Hoi-Jun Yoo

    8 ISSCC 2016

    A 502GOPS and 0.984mW Dual-Mode ADAS SoC with RNN-FIS Engine for Intention Prediction in Automotive Black-Box System

    Author | Kyuho Lee, Kyeongryeol Bong, Changhyeon Kim, Jaeeun Jang, Hyunki Kim, Jihee Lee, Kyoungrog Lee, Gyeo…

    9 EMBC 2016

    A Fabric Wrist Patch Sensor for Continuous and Comprehensive Monitoring of the Cardiovascular System

    Author | Kwonjoon Lee, Kiseok Song, Taehwan Roh and Hoi-jun Yoo

    10 EMBC 2016

    Sticker-type ECG/PPG Concurrent Monitoring System Hybrid Integration of CMOS SoC and Organic Sensor Device

    Author | Yongsu Lee, Hyeonwoo Lee, Seunghyup Yoo, and Hoi-jun Yoo

    11 COOLCHIPS 2016

    A 1.1mW 32-thread Artificial Intelligence Processor with 3-level Transposition Table and On-chip PVT Compensation for Autonomous Mobile Robots

    Author | Youchang Kim, Dongjoo Shin, Jinsu Lee, and Hoi-Jun Yoo

    12 COOLCHIPS 2016

    An Energy-Efficient Parallel Multi-Core ADAS Processor with Robust Visual Attention and Workload-Prediction DVFS for Real-Time HD Stereo Stream

    Author | Kyuho Lee, Kyeongryeol Bong, Changyeon Kim, Junyoung Park and Hoi-Jun Yoo

    13 ISCAS 2016

    A Fault Tolerant Cache of Automotive Vision Processor Complying with ISO26262

    Author | Jinho han, Youngsu Kwon, Kyeonjin Byun, and Hoi-jun Yoo

    14 ISCAS 2016

    A 48 μW, 8.88×10-3 W/W Batteryless Energy Harvesting BCC Identification System

    Author | Jihee Lee, Yongsu Lee, Hyunwoo Cho, and Hoi-Jun Yoo

    15 ISCAS 2016

    30-fps SNR Equalized Electrical Impedance Tomography IC with Fast-Settle Filter and Adaptive Current Control for Lung Monitoring

    Author | Jaehyuk Lee, Unsoo Ha, and Hoi-Jun Yoo

    16 ISCAS 2016

    A 43.7 mW 94 fps CMOS Image Sensor-based Stereo Match-ing Accelerator with Focal-plane Rectification and Analog Census Transformation

    Author | Changhyeon Kim, Kyeongryeol Bong, Sungpill Choi, and Hoi-Jun Yoo

    17 ISCAS 2016

    A 17.5 fJ/bit Energy-efficient Analog SRAM for Mixed-signal Processing

    Author | Jinsu Lee, Dongjoo Shin, Youchang Kim, and Hoi-Jun Yoo

    18 ISCAS 2016

    A 54-μW fast-settling arterial pulse wave sensor for wrist watch type system

    Author | Kwantae Kim, Minseo Kim, Hyunwoo Cho, Kwonjoon Lee, Seung-Tak Ryu, and Hoi-Jun Yoo

    19 ISSCC 2016

    A 0.55V 1.1mW Artificial-Intelligence Processor with PVT Compensation for Micro Robots

    Author | Youchang Kim, Dongjoo Shin, Jinsu Lee, Yongsu Lee, and Hoi-Jun Yoo

    20 ISSCC 2016

    A 126.1mW Real-Time Natural UI/UX Processor with Embedded Deep-Learning Core for Low-Power Smart Glasses

    Author | Seongwook Park, Sungpill Choi, Jinmook Lee, Minseo Kim, Junyoung Park, and Hoi-Jun Yoo

    21 ISSCC 2016

    A 141μW Sensor SoC on OLED/OPD Substrate for SpO2/ExG Monitoring Sticker

    Author | Yongsu Lee, Hyunwoo Lee, Jaeeun Jang, Jihee Lee, Minseo Kim, Jaehyuk Lee, Hyunki Kim, Kyoungrog Lee,…

Year 2015
  • No. Publication Title
    1 A-SSCC 2015

    A 1.9nJ/Pixel Deep Neural Network Processor for High Speed Visual Attention in a Mobile Vision Recognition SoC

    Author | Injoon Hong, Seongwook Park, Junyoung Park, and Hoi-Jun Yoo

    2 A-SSCC 2015

    A 95% Accurate EEG-connectome Processor for a Mental Health Monitoring System

    Author | Hyunki Kim, Kiseok Song, Taehwan Roh, and Hoi-Jun Yoo

    3 IMWS-Bio 2015

    A 79pJ/b 80Mb/s Full Duplexer Transceiver and a 42.5μW 100kb/s Super-regenerative Transceiver for Body Channel Communication

    Author | Hyunwoo Cho, Hyunki Kim, Minseo Kim, Jaeeun Jang, and Hoi-Jun Yoo

    4 ESSCIRC 2015

    Intelligent Task Scheduler with High Throughput NoC for Real-Time Mobile Object Recognition SoC

    Author | Kyuho Lee, Junyoung Park, Injoon Hong, and Hoi-Jun Yoo

    5 EMBC 2015

    Wearable Lung-health Monitoring System with Electrical Impedance Tomography

    Author | Sunjoo Hong, Jaehyuk Lee, and Hoi-Jun Yoo

    6 EMBC 2015

    A Multimodal Stress Monitoring System with Canonical Correlation Analysis

    Author | Unsoo Ha, Changhyeon Kim, Yongsu Lee, Hyunki Kim, Taehwan Roh, and Hoi-Jun Yoo

    7 HOTCHIPS 2015

    A Low-power and Real-time Augmented Reality Processor for the Next Generation Smart Glasses

    Author | Gyeonghoon Kim, and Hoi-Jun Yoo

    8 S. VLSI 2015

    A 0.5-degree Error 10mW CMOS Image Sensor-based Gaze Estimation Processor with Logarithmic Processing

    Author | Kyeongryeol Bong, Injoon Hong, Gyeonghoon Kim, and Hoi-Jun Yoo

    9 S. VLSI 2015

    A 4.84mW 30fps Dual Frequency Division Multiplexing Electrical Impedance Tomography SoC for Lung Ventilation Monitoring System

    Author | Yongsu Lee, Kiseok Song, and Hoi-Jun Yoo

    10 S. VLSI 2015

    A 33 nJ/vector Descriptor Generation Processor for Low-power Object Recognition

    Author | Dongjoo Shin, Injoon Hong, Gyeonghoon Kim, and Hoi-Jun Yoo

    11 COOLCHIPS 2015

    A Keypoint-level Parallel Pipelined Object Recognition Processor with Gaze Activation Image Sensor for Mobile Smart Glasses System

    Author | Injoon Hong, Dongjoo Shin, Youchang Kim, Kyeongryeol Bong, Seongwook Park, Kyuho Lee, and Hoi-Jun Yo…

    12 ISCAS 2015

    A 3.13nJ/sample Energy-efficient Speech Extraction Processor for Robust Speech Recognition in Mobile Head-mounted Display Systems

    Author | Jinmook Lee, Seongwook Park, Injoon Hong, and Hoi-Jun Yoo

    13 ISCAS 2015

    A 124.9fps Memory-Efficient Hand Segmentation Processor for Hand Gesture in Mobile Devices

    Author | Sungpill Choi, Seongwook Park, Gyeonghoon Kim, and Hoi-Jun Yoo

    14 ISCAS 2015

    A 24-mW 28-Gb/s Wireline Receiver with Low-frequency Equalizing CTLE and 2-tap Speculative DFE

    Author | Minseo Kim, Joonsung Bae, Unsoo Ha, and Hoi-Jun Yoo

    15 ISCAS 2015

    A 0.54mW Duty Controlled RSSI with Current Reusing Technique for Human Body Communication

    Author | Jaeeun Jang, Yongsu Lee, Hyunwoo Cho, and Hoi-Jun Yoo

    16 ICIT 2015

    K-Glass: Real-time markerless augmented reality smart glasses platform

    Author | Gyeonghoon Kim, Sungpil Choi, Hoi-Jun Yoo

    17 ISSCC 2015

    A 0.5V 54μW Ultra-Low-Power Recognition Processor with 93.5% Accuracy Geometric Vocabulary Tree and 47.5% Database Compression

    Author | Youchang Kim, Injoon Hong, and Hoi-Jun Yoo

    18 ISSCC 2015

    A 1.93 TOPS/W Scalable Deep Learning/Inference Processor with Tetra-parallel MIMD Architecture for Big Data Applications

    Author | Seongwook Park, Kyeongryeol Bong, Dongjoo Shin, Jinmook Lee, Sungpill Choi, and Hoi-Jun Yoo

    19 ISSCC 2015

    A Wearable EEG-HEG-HRV Multimodal System with Real-time Transcranial Electrical Stimulation Monitoring for Mental Health Management

    Author | Unsoo Ha, Yongsu Lee, Hyunki Kim, Taehwan Roh, Joonsung Bae, Changhyeon Kim, and Hoi-Jun Yoo

    20 ISSCC 2015

    A 2.71nJ/Pixel 3D-Stacked Gaze-Activated Object Recognition System for Low-power Mobile HMD Applications

    Author | Injoon Hong, Kyeongryeol Bong, Dongjoo Shin, Seongwook Park, Kyuho Lee, Youchang Kim, and Hoi-Jun Yo…

    21 ISSCC 2015

    A 79pJ/b 80MB/s Full Deplexer Transceiver and a 42.5μW 100kb/s Super-regenerative Transceiver for Body Channel Communication

    Author | Hyunwoo Cho, Hyunki Kim, Minseo Kim, Jaeeun Jang, Joonsung Bae, and Hoi-Jun Yoo

Year 2014
  • No. Publication Title
    1 A-SSCC 2014

    A 4.9mW Neural Network Task Scheduler for Congestion-Minimized Network-on-Chip in Multi-Core Systems

    Author | Youchang Kim, Gyeonghoon Kim, Injoon Hong, Donghyun Kim, and Hoi-Jun Yoo

    2 A-SSCC 2014

    A 27mW Reconfigurable Marker-Less Logarithmic Camera Pose Estimation Engine for Mobile Augmented Reality Processor

    Author | Injoon Hong, Gyeonghoon Kim, Youchang Kim, Donghyun Kim, Byeong-Gyu Nam, and Hoi-Jun Yoo

    3 A-SSCC 2014

    A 5.2mW IEEE 802.15.6 HBC Standard Compatible Transceiver with Power Efficient Delay-Locked-Loop Based BPSK Demodulator

    Author | Hyunwoo Cho, Hyungwoo Lee, Joonsung Bae, and Hoi-Jun Yoo

    4 A-SSCC 2014

    A 10.4mW Electrical Impedance Tomography SoC for Portable Real-time Lung Ventilation Monitoring System

    Author | Sunjoo Hong, Jaehyuk Lee, Joonsung Bae and Hoi-Jun Yoo

    5 ESSCIRC 2014

    A 1.5nJ/pixel Super-Resolution Enhanced FAST Corner Detection Processor for High Accuracy AR

    Author | Seongwook Park, Gyeonghoon Kim, Junyoung Park, and Hoi-Jun Yoo

    6 CICC 2014

    Energy-efficient Mixed-mode Support Vector Machine Processor with Analog Gaussian Kernel

    Author | Kyeongryeol Bong, Gyeonghoon Kim and Hoi-Jun Yoo

    7 CICC 2014

    A 33μW/node Duty Cycle Controlled HBC Transceiver System for Medical BAN with 64 Sensor Nodes

    Author | Hyungwoo Lee, Hyunwoo Cho and Hoi-Jun Yoo

    8 EMBC 2014

    Wearable Depression Monitoring System with Heart-rate Variability

    Author | Taehwan Roh, Sunjoo Hong, and Hoi-Jun Yoo

    9 S. VLSI 2014

    A Vocabulary Forest-based Object Matching Processor with 2.07M-vec/s Throughput and 13.3nJ/vector Energy in Full-HD Resolution

    Author | Kyuho Lee, Gyeonghoon Kim, Junyoung Park and Hoi-Jun Yoo

    10 S. VLSI 2014

    An Impedance and Multi-wavelength Near-infrared Spectroscopy IC for Non-invasive Blood Glucose Estimation

    Author | Kiseok Song, Unsoo Ha, Seongwook Park, and Hoi-Jun Yoo

    11 ISCAS 2014

    3.8mW Electrocardiogram (ECG) Filtered Electrical Impedance Tomography IC using I/Q Homodyne Architecture for Breast Cancer Diagnosis

    Author | Yongsu Lee, Unsoo Ha, Kiseok Song, and Hoi-jun Yoo

    12 ISCAS 2014

    An 1.92mW Feature Reuse Engine based on Inter-frame Similarity for Low-power Object Recognition in Video Frames

    Author | Dongjoo Shin, Injoon Hong, and Hoi-Jun Yoo

    13 ISCAS 2014

    An 1.61mW Mixed-Signal Column Processor for Brisk Feature Extraction in CMOS Image Sensor

    Author | Kyeongryeol Bong, Gyeonghoon Kim, Injoon Hong, and Hoi-jun Yoo

    14 COOLCHIPS 2014

    A Task-level Pipelined Many-SIMD Augmented Reality Processor with Congestion-aware Network-on-Chip Scheduler

    Author | Gyeonghoon Kim, Seongwook Park, Kyuho Lee, Youchang Kim, Injoon Hong, Kyeongryeol Bong, Dongjoo Shin…

    15 ISSCC 2014

    A 4.9mΩ Sensitivity Mobile Electrical Impedance Tomography IC for Early Breast Cancer Detection System

    Author | Sunjoo Hong, Kwonjoon Lee, Unsoo Ha, Hyunki Kim, Yongsu Lee, Youchang Kim, and Hoi-Jun Yoo

    16 ISSCC 2014

    A 1.22TOPS and 1.52mW/MHz Augmented Reality Multi-Core Processor with Neural Network NoC for HMD Applications

    Author | Gyeonghoon Kim, Youchang Kim, Kyuho Lee, Seongwook Park, Injoon Hong, Kyeongryeol Bong, Dongjoo Shin…

    17 ISSCC 2014

    A 2.14mW EEG Neuro-feedback Processor with Transcranial Electrical Stimulation for Mental Health Management

    Author | Taehwan Roh, Kiseok Song, Hyunwoo Cho, Dongjoo Shin, Unsoo Ha, Kwonjoon Lee, and Hoi-Jun Yoo

Year 2013
  • No. Publication Title
    1 BioCAS 2013

    Bio-Feedback Iontophoresis Patch for Controllable Transdermal Drug Delivery

    Author | Kiseok Song, Unsoo Ha, Jaehyuk Lee, and Hoi-Jun Yoo

    2 ESSCIRC 2013

    A High-throughput 16x Super Resolution Processor for Real-Time Object Recognition SoC

    Author | Junyoung Park, Byeong-Gyu Nam, and Hoi-Jun Yoo

    3 S. VLSI 2013

    A 125,582 vector/s Throughput and 95.1% Accuracy ANN Searching Processor with Neuro-Fuzzy Vision Cache for Real-time Object Recognition

    Author | Injoon Hong, Junyoung Park, Gyeonghoon Kim, Jinwook Oh and Hoi-Jun Yoo

    4 COOLCHIPS 2013

    A multi-granularity parallelism object recognition processor with content-aware fine-grained task scheduling

    Author | Junyoung Park, Injoon Hong, Gyeonghoon Kim, Youchang Kim, Kyuho Lee, Seongwook Park, Kyeongryeol Bon…

    5 ISCAS 2013

    A 32.8mW 60fps Cortical Vision Processor for Spatio-Temporal Action Recognition

    Author | Seongwook Park, Junyoung Park, Injoon Hong, and Hoi-Jun Yoo

    6 ISCAS 2013

    A 0.7pJ/bit 2Gbps Self-synchronous Serial Link Receiver Using Gated-ring Oscillator for Inductive Coupling Communication

    Author | Unsoo Ha, Hyunwoo Cho, and Hoi-Jun Yoo

    7 ISCAS 2013

    A 34.1fps Scale-space Processor with Two-dimensional Cache for Real-time Object Recognition

    Author | Youchang Kim, Junyoung Park, and Hoi-Jun Yoo

    8 ISCAS 2013

    A Multi-Modal and Tunable Radial-Basis-Funtion Circuit with Supply and Temperature Compensation

    Author | Kyuho Lee, Junyoung Park, Gyeonghoon Kim, Injoon Hong, and Hoi-Jun Yoo

    9 ISSCC 2013

    A 646GOPS/W Multi-classifier Many-core Processor with Cortex-like Architecture for Super-Resolution Recognition

    Author | Junyoung Park, Injoon Hong, Gyeonghoon Kim, Youchang Kim, Kyuho Lee, Seongwook Park, Kyeongryeol Bon…

    10 ISSCC 2013

    An 87mA·min Iontophoresis Controller IC with Dual-Mode Impedance Sensor for Patch Type Transdermal Drug Delivery System

    Author | Kiseok Song, Unsoo Ha, Jaehyuk Lee, Kyeongryeol Bong, and Hoi-Jun Yoo

    11 ISSCC 2013

    A 5.5mW IEEE 802.15.6 Wireless Body Area Network Standard Transceiver for Multi-Channel Electro-Acupuncture Application

    Author | Hyungwoo Lee, Kwonjoon Lee, Sunjoo Hong, Kiseok Song, Taehwan Roh, Joonsung Bae, and Hoi-Jun Yoo

    12 ISSCC 2013

    1.2Gb/s, 3.9pJ/b Mono-Phase Pulse Modulation Inductive Coupling Transceiver for mm-range Board-to-Board Communication

    Author | Hyunwoo Cho, Unsoo Ha, Taehwan Roh, Dongchurl Kim, Jaehyuck Lee, Yunje Oh, and Hoi-Jun Yoo

Year 2012
  • No. Publication Title
    1 EMBC 2012

    Wearable Mental-health Monitoring Platform with Independent Component Analysis and Nonlinear Chaotic Analysis

    Author | Taehwan Roh, Kyeongryeol Bong, Sunjoo Hong, Hyunwoo Cho, and Hoi-Jun Yoo

    2 CICC 2012

    A 46μW Motion Artifact Reduction Bio-Signal Sensor with ICA Based Adaptive DC Level Control for Sleep Monitoring System

    Author | Sunjoo Hong, Seulki Lee, Taehwan Roh, and Hoi-Jun Yoo

    3 BioCAS 2012

    Compact Electro-Acupuncture System for Multi-Modal Feedback Stimulation

    Author | Kiseok Song, Hyungwoo Lee, Sunjoo Hong, Hyunwoo Cho, Kwonjoon Lee, and Hoi-Jun Yoo

    4 BioCAS 2012

    Live Demonstration: Wearable Mental Health Monitoring System with Planar-Fashonable Circuit Board

    Author | Taehwan Roh, Kyungryul Bong, Sunjoo Hong, Hyunwoo Cho, and Hoi-Jun Yoo

    5 A-SSCC 2012

    A 45μW Injection-Locked FSK Wake-Up Receiver for Crystal-Less Wireless Body-Area-Network

    Author | Joonsung Bae, and Hoi-Jun Yoo

    6 A-SSCC 2012

    A Dynamic Resource Controller with Network-on-chip for a 10.5nJ/pixel Object Recognition Processor

    Author | Jinwook Oh, Injoon Hong, Gyeonghoon Kim, Junyoung Park, and Hoi-Jun Yoo

    7 EMBC 2012

    The Compact Electro-Acupuncture System for Multi-Modal Feedback Electro-Acupuncture Treatment

    Author | Kiseok Song, Hyungwoo Lee, Sunjoo Hong, Hyunwoo Cho, and Hoi-Jun Yoo

    8 ESSCIRC 2012

    A 86mW 98GOPS ANN-Searching Processor for Full-HD 30fps Video Object Recognition with Zeroless Locality-Sensitive Hashing

    Author | Gyeonghoon Kim, Jinwook Oh, and Hoi-Jun Yoo

    9 CICC 2012

    A 46μW Motion Artifact Reduction Bio-Signal Sensor with ICA Based Adaptive DC Level Control for Sleep Monitoring System

    Author | Sunjoo Hong, Seulki Lee, Taehwan Roh, and Hoi-Jun Yoo

    10 CICC 2012

    Online Reinforcement Learning NoC for Portable HD Object Recognition Processor

    Author | Junyoung Park, Injoon Hong, Gyeonghoon Kim, Jinwook Oh, Seungjin Lee, and Hoi-Jun Yoo

    11 COOLCHIPS 2012

    A Simultaneous Multithreading Heterogeneous Object Recognition Processor with Machine Learning Based Dynamic Resource Management

    Author | Jinwook Oh, Gyeonghoon Kim, Junyoung Park, Injoon Hong, Seungjin Lee, Joo-Young Kim, and Hoi-Jun Yoo

    12 ISCAS 2012

    A 2.1μW Real-Time Reconfigurable Wearable Ban Controller with Dual Linked List Structure

    Author | Seulki Lee, Taehwan Roh, Sunjoo Hong, and Hoi-Jun Yoo

    13 ISCAS 2012

    A 39μW Body Channel Communication Wake-up Receiver with Injection-locking Ring-oscillator for Wireless Body Area Network

    Author | Hyunwoo Cho, Joonsung Bae, and Hoi-Jun Yoo

    14 ISMICT 2012

    An Energy-Efficient Body Channel Communication based on Maxwell's Equations Analysis of On-Body Transmission Mechanism

    Author | Joonsung Bae, Kiseok Song, Hyunwoo Cho, Hyungwoo Lee, and Hoi-Jun Yoo

    15 ISSCC 2012

    A 320mW 342GOPS Real-Time Moving Object Recognition Processor for HD 720p Video Streams

    Author | Jinwook Oh, Gyeonghoon Kim, Junyoung Park, Injoon Hong, and Hoi-Jun Yoo

    16 ISSCC 2012

    A 259.6μW Nonlinear HRV-EEG Chaos Processor with Body Channel Communication Interface for Mental Health Monitoring

    Author | Taehwan Roh, Sunjoo Hong, Hyunwoo Cho, and Hoi-Jun Yoo

    17 ISSCC 2012

    A Sub-10nA DC-Balanced Adaptive Stimulator IC with Mulimodal Sensor for Compact Electro-Acupuncture System

    Author | Kiseok Song, Hyungwoo Lee, Sunjoo Hong, Hyunwoo Cho, and Hoi-Jun Yoo

Year 2011
  • No. Publication Title
    1 BioCAS 2011

    A 15μW 16 Channel ExG Processor with Data Transition Memory-Quad Level Vector for Wearable Healthcare Platform

    Author | Taehwan Roh, Seulki Lee, and Hoi-Jun Yoo

    2 A-SSCC 2011

    A 92mW Real-Time Traffic Sign Recognition System with Robust Light and Dark Adaptation

    Author | Junyoung Park, Joonsoo Kwon, Jinwook Oh, Seungjin Lee, and Hoi-Jun Yoo

    3 A-SSCC 2011

    An Asynchronous Mixed-mode Neuro-Fuzzy Controller for Energy Efficient Machine Intelligence SoC

    Author | Jinwook Oh, Gyeonghoon Kim, and Hoi-Jun Yoo

    4 A-SSCC 2011

    A Low Energy Crystal-Less Double-FSK Transceiver for Wireless Body-Area-Network

    Author | Joonsung Bae, Kiseok Song, Hyungwoo Lee, Hyunwoo Cho, and Hoi-Jun Yoo

    5 CICC 2011

    A 20µW Contact Impedance Sensor for Wireless Body-Area-Network Transceiver

    Author | Kiseok Song, Joonsung Bae, Long Yan, and Hoi-Jun Yoo

    6 ESSCIRC 2011 (Fringe Poster)

    A 3-Channel 150µW Electrooculography (EOG) Monitoring System for Wearable Human Computer Interface (HCI)

    Author | Seulki Lee, Sunjoo Hong, Changmin Joo, and Hoi-Jun Yoo

    7 EMBC 2011

    Fabric Circuit Board-Based Dry Electrode and its Characteristics for Long-Term Physiological Signal Recording

    Author | Jerald Yoo, and Hoi-Jun Yoo

    8 EMBC 2011

    The Smart Patches and Wearable Band (W-Band) for Comfortable Sleep Monitoring System

    Author | Seulki Lee, Long Yan, Taehwan Roh, Sunjoo Hong, and Hoi-Jun Yoo

    9 EMBC 2011

    Wearable Healthcare with Attachable Sensors

    Author | Hoi-Jun Yoo, Jerald Yoo, Long Yan, Seulki Lee, and Joonsung Bae

    10 MWSCAS 2011

    1.15mW Mixed-mode Neuro-Fuzzy Accelerator for keypoint Localization in Image Processing

    Author | Injoon Hong, Jinwook Oh, and Hoi-Jun Yoo

    11 MWSCAS 2011

    A 5.3µW Contact Monitoring Sensor with BCC Electrode and MICS Antenna for Energy Efficient Unified WBAN Transceiver

    Author | Hyunwoo Cho, Joonsung Bae, Kiseok Song, and Hoi-Jun Yoo

    12 ISCAS 2011

    A 2.4µW 400nC/s Constant Charge Injector for Wirelessly-Powered Electro-Acupuncture

    Author | Hyungwoo Lee, Kiseok Song, Long Yan, and Hoi-Jun Yoo

    13 ISCAS 2011

    A 145µW 8x8 Parallel Multiplier Based on Optimized Bypassing Architecture

    Author | Sunjoo Hong, Taehwan Roh, and Hoi-Jun Yoo

    14 ISCAS 2011

    A Low-energy Hybrid Radix-4/-8 Multiplier for Portable Multimedia Applications

    Author | Gyeonghoon Kim, Seungjin Lee, Junyoung Park, and Hoi-Jun Yoo

    15 ISSCC 2011

    A 57mW Embedded Mixed-Mode Neuro-Fuzzy Accelerator for Intelligent Multi-core Processor

    Author | Jinwook Oh, Junyoung Park, Gyeonghoon Kim, Seungjin Lee, and Hoi-Jun Yoo

    16 ISSCC 2011

    A 75µW Real-Time Scalable Network Controller and a 25µW ExG Sensor IC for Compact Sleep-Monitoring Applications

    Author | Seulki Lee, Long Yan, Taehwan Roh, Sunjoo Hong, and Hoi-Jun Yoo

    17 ISSCC 2011

    A 0.24nJ/b Wireless Body-Area-Network Transceiver with Scalable Double-FSK Modulation

    Author | Joonsung Bae, Kiseok Song, Hyungwoo Lee, Hyunwoo Cho, and Hoi-Jun Yoo

Year 2010
  • No. Publication Title
    1 APMC 2010

    Compact Textile Patch Antenna for Wearable Fabric Applications

    Author | Sang-Jun Ha, Seulki Lee, Hoi-Jun Yoo, and Chang-Won Jung

    2 APCCAS 2010

    A 60µW 10Mb/s Fully Digital FSK Demodulator for Power-Jitter Efficient Medical BAN

    Author | Hyungwoo Lee, Taehwan Roh, Joonsung Bae, and Hoi-Jun Yoo

    3 APCCAS 2010

    A Combined Method to Reduce Motion Artifact and Power Line Interference for Wearable Healthcare Systems

    Author | Sunjoo Hong, Kiseok Song, Long Yan, and Hoi-Jun Yoo

    4 A-SSCC 2010

    A 1Mb/s, -75dBm Sensitive Fully Integrated Body Channel Transceiver for a Low Energy Compact Wearable Healthcare Sensor

    Author | Long Yan, Joonsung Bae, and Hoi-Jun Yoo

    5 A-SSCC 2010

    92mW 76.8GOPS Vector Matching Processor with Parallel Huffman Decoder and Query Re-ordering Buffer for Real-time Object Recognition

    Author | Seungjin Lee, Joonsoo Kwon, Jinwook Oh, Junyoung Park, and Hoi-Jun Yoo

    6 uHealthcare 2010

    Wearable Sensor Nodes Design for Home Healthcare System

    Author | Seulki Lee, and Hoi-Jun Yoo

    7 uHealthcare 2010

    Unified Body Sensor Network Transceivers for Wireless Healthcare System

    Author | Joonsung Bae, and Hoi-Jun Yoo

    8 ISWC 2010

    Arm-Band type Textile-MP3 Player with Multi-layer Planar Fashionable Circuit Board (P-FCB) Techniques

    Author | Seulki Lee, Binhee Kim, Taehwan Roh, Sunjoo Hong, and Hoi-Jun Yoo

    9 CICC 2010

    Intelligent NoC with Neuro-Fuzzy Bandwidth Regulation for a 51 IP Object Recognition Processor

    Author | Seungjin Lee, Jinwook Oh, Minsu Kim, Junyoung Park, Joonsoo Kwon, Joo-Young Kim, and Hoi-Jun Yoo

    10 EMBC 2010

    Emerging Low Energy Wearable Body Sensor Networks using Patch Sensors for Continuous Healthcare Applications

    Author | Jerald Yoo, and Hoi-Jun Yoo

    11 EMBC 2010

    Wireless Fabric Patch Sensors for Wearable Healthcare

    Author | Hoi-Jun Yoo, Jerald Yoo, and Long Yan

    12 S. VLSI 2010

    A low Power ECG Signal Processor for Ambulatory Arrhythmia Monitoring System

    Author | Hyejung Kim, Refet Firat Yazicioglu, Tom Torfs, Patrick Merken, Hoi-Jun Yoo, and Chris Van Hoof

    13 S. VLSI 2010

    A 1.2mW On-Line Learning Mixed Mode Intelligent Inference Engine for Robust Object Recognition

    Author | Jinwook Oh, Seungjin Lee, Minsu Kim, Joonsoo Kwon, Junyoung Park, Joo-Young Kim, and Hoi-Jun Yoo

    14 S. VLSI 2010

    A Low Energy Injection-Locked FSK Transceiver with Frequency-to-Amplitude Conversion for Body Sensor Applications

    Author | Joonsung Bae, and Hoi-Jun Yoo

    15 ISCAS 2010

    A Wirelessly-Powered Electro-Acupuncture based on Adaptive Pulse Width Mono-Phase Stimulation

    Author | Kiseok Song, Seulki Lee, and Hoi-Jun Yoo

    16 ISCAS 2010

    A 22.4mW Competitive Fuzzy Edge Detection Processor for Volume Rendering

    Author | Joonsoo Kwon, Minsu Kim, Jinwook Oh, and Hoi-Jun Yoo

    17 ISCAS 2010

    A 30fps Stereo Matching Processor Based on Belief Propagation with Disparity-Parallel PE Array Architecture

    Author | Junyoung Park, Seungjin Lee, and Hoi-Jun Yoo

    18 ISCAS 2010

    A 10Mb/s 4ns Jitter Direct Conversion Low Modulation Index FSK Demodulator for Low-energy Body Sensor Network

    Author | Taehwan Roh, Joonsung Bae, and Hoi-Jun Yoo

    19 ISCAS 2010

    Live Demonstration: A Real-time Compensated Inductive Transceiver for Wearable MP3 Player System on Multi-layered Planar Fashionable Circuit Board

    Author | Seulki Lee, Seungwook Paek, and Hoi-Jun Yoo

    20 COOL Chips 2010

    A 36 Heterogeneous Core Architecture with Resource-Aware Fine-grained Task Scheduling for Feedback Attention based Object Recognition

    Author | Seungjin Lee, Jinwook Oh, Minsu Kim, Joonyoung Park, Joonsoo Kwon, Joo-Young Kim, and Hoi-Jun Yoo

    21 Pervasive Health 2010

    A Smart Poultice with Reconfigurable Sensor Array for Wearable Cardiac Healthcare

    Author | Long Yan and Hoi-Jun Yoo

    22 ISSCC 2010

    A 345mW Heterogeneous Many-Core Processor with an Intelligent Inference Engine for Robust Object Recognition

    Author | Seungjin Lee, Jinwook Oh, Minsu Kim, Junyoung Park, Joonsoo Kwon, and Hoi-Jun Yoo

    23 ISSCC 2010

    A 3.9mW 25-Electrode Reconfigured Thoracic Impedance/ECG SoC with Body-Channel Transponder

    Author | Long Yan, Joonsung Bae, Seulki Lee, Binhee Kim, Taehwan Roh, Kiseok Song, and Hoi-Jun Yoo

Year 2009
  • No. Publication Title
    1 A-SSCC 2009

    A 0.5µVrms 12µW Patch Type Fabric Sensor for Wearable Body Sensor Network

    Author | Long Yan, Jerald Yoo, Binhee Kim, and Hoi-Jun Yoo

    2 A-SSCC 2009

    A 1.3pJ/b Inductive Coupling Transceiver with Adaptive Gain Control for Cm-range 50Mbps Data Communication

    Author | Seulki Lee, Jerald Yoo, Kiseok Song, and Hoi-Jun Yoo

    3 CICC 2009

    A 54GOPS 51.8mW Analog-Digital Mixed Mode Neural Perception Engine for Fast Object Detection

    Author | Minsu Kim, Joo-Young Kim, Seungjin Lee, Jinwook Oh, and Hoi-Jun Yoo

    4 ISWC 2009

    An Attachable ECG Sensor Bandage with Planar-Fashionable Circuit Board

    Author | Jerald Yoo, Long Yan, Seulki Lee, Hyejung Kim, Binhee Kim, and Hoi-Jun Yoo

    5 ESSCIRC 2009

    A 118.4GB/s Multi-Casting Network-on-Chip for Real-Time Object Recognition Processor

    Author | Joo-Young Kim, Kwanho Kim, Minsu Kim, Seungjin Lee, Jinwook Oh, and Hoi-Jun Yoo

    6 EMBC 2009

    An Integrated Circuit for Wireless Ambulatory Arrhythmia Monitoring Systems

    Author | Hyejung Kim, Refet Firat Yazicioglu, Tom Torfs, Patrick Merken, Chris Van Hoof, and Hoi-Jun Yoo

    7 EMBC 2009

    Low Energy Wearable Body-Sensor-Network

    Author | Hoi-Jun Yoo, Namjun Cho, and Jerald Yoo

    8 ISLPED 2009

    A 60fps 496mW Multi-Object Recognition Processor with Workload-Aware Dynamic Power Management

    Author | Joo-Young Kim, Seungjin Lee, Jinwook Oh, Minsu Kim, and Hoi-Jun Yoo

    9 S. VLSI 2009

    A Dynamic Real-time Capacitor Compensated Inductive Coupling Transceiver for Wearable Body Sensor Network

    Author | Seulki Lee, Jerald Yoo, Hyejung Kim, and Hoi-Jun Yoo

    10 S. VLSI 2009

    A 490µW Fully MICS Compatible FSK Transceiver for Implantable Devices

    Author | Joonsung Bae, Namjun Cho, and Hoi-Jun Yoo

    11 S. VLSI 2009

    A 22.8GOPS 2.83mW Neuro-fuzzy Object Detection Engine for Fast Multi-object Recognition

    Author | Minsu Kim, Joo-Young Kim, Seungjin Lee, Jinwook Oh, and Hoi-Jun Yoo

    12 BSN 2009

    A Wearable Fabric Computer by Planar-Fashionable Circuit Board Technique

    Author | Hyejung Kim, Yongsang Kim, Binhee Kim, and Hoi-Jun Yoo

    13 ISCAS 2009

    An Energy-Efficient Dual Sampling SAR ADC with Reduced Capacitive DAC

    Author | Binhee Kim,Long Yan, Jerald Yoo, Namjun Cho, and Hoi-Jun Yoo

    14 VLSI-DAT 2009

    An Area Efficient Shared Synapse Cellular Neural Network for Low Power Image Processing

    Author | Jinwook Oh, Seungjin Lee, Joo-Young Kim, and Hoi-Jun Yoo

    15 VLSI-DAT 2009

    A 1.55ns 0.015mm2 64-bit Quad Number Comparator

    Author | Minsu Kim, Joo-Young Kim, and Hoi-Jun Yoo

    16 Pervasive Health 2009

    A Wearable Inductor Channel Design for Blood Pressure Monitoring System in Daily Life

    Author | Seulki Lee, Jerald Yoo, and Hoi-Jun Yoo

    17 COOL Chips 2009

    An Energy Efficient Real-Time Object Recognition Processor with Neuro-Fuzzy Controlled Workload-aware Task Pipelining

    Author | Joo-Young Kim, Minsu Kim, Seungjin Lee, Jinwook Oh, Kwanho Kim, Jeong-Ho Woo, and Hoi-Jun Yoo

    18 ISSCC 2009

    A 10.8mW Body-Channel-Communication/MICS Dual-Band Transceiver for a Unified Body-Sensor-Network Controller

    Author | Namjun Cho, Joonsung Bae, Sunyoung Kim, and Hoi-Jun Yoo

    19 ISSCC 2009

    A 5.2mW Self-Configured Wearable Body Sensor Network Controller and a 12μW 54.9% Efficiency Wirelessly Powered Sensor for Continuous Health Monitoring System

    Author | Jerald Yoo, Long Yan, Seulki Lee , Yongsang Kim, Hyejung Kim, Binhee Kim, and Hoi-Jun Yoo

    20 ISSCC 2009

    A 201.4GOPS 496mW Real-Time Multi-Object Recognition Processor with Bio-Inspired Neural Perception Engine

    Author | Joo-Young Kim, Minsu Kim, Seungjin Lee, Jinwook Oh, Kwanho Kim, Sejong Oh, Jeong-Ho Woo, Donghyun Ki…

Year 2008
  • No. Publication Title
    1 BioCAS 2008

    An Interference-Resilient Body Channel Transceiver for Wearable Body Sensor Network

    Author | Namjun Cho, Joonsung Bae, and Hoi-Jun Yoo

    2 A-SSCC 2008

    A Two-Electrode 2.88nJ/Conversion Biopotential Acquisition System for Portable Healthcare Device

    Author | Long Yan, Namjun Cho, Jerald Yoo, Binhee Kim, and Hoi-Jun Yoo

    3 A-SSCC 2008

    A Low Energy Bio Sensor Node Processor for Continuous Healthcare Monitoring System

    Author | Hyejung Kim, Yongsang Kim , and Hoi-Jun Yoo

    4 A-SSCC 2008

    A 1.12pJ/b Resonance Compensated Inductive Transceiver with a Fault-Tolerant Network Controller for Wearable Body Sensor Networks

    Author | Jerald Yoo, Seulki Lee , and Hoi-Jun Yoo

    5 A-SSCC 2008

    A 76.8GB/s 46mW Low-latency Network-on-Chip for Real-time Object Recognition Processor

    Author | Kwanho Kim, Joo-Young Kim, Seungjin Lee, Minsu Kim, and Hoi-Jun Yoo

    6 A-SSCC 2008

    A 66fps 38mW Nearest Neighbor Matching Processor with Hierarchical VQ Algorithm for Real-Time Object Recognition

    Author | Joo-Young Kim, Kwanho Kim, Seunjin Lee, Minsu Kim, and Hoi-Jun Yoo

    7 ESSCIRC 2008

    A 211GOPS/W Dual-Mode Real-Time Object Recognition Processor with Network-on-Chip

    Author | Kwanho Kim, Joo-Young Kim, Seungjin Lee, Minsu Kim, and Hoi-Jun Yoo

    8 EMBC 2008

    A Low Cost Quadratic Level ECG Compression Algorithm and Its Hardware Optimization for Body Sensor Network System

    Author | Hyejung Kim, Yongsang Kim, and Hoi-Jun Yoo

    9 EMBC 2008

    Autonomous Gain Verification Algorithm for a Dual Mode Digital Hearing Aid Chip

    Author | Sunyoung Kim , Long Yan, Minsu Kim, Joonsung Bae and Hoi-Jun Yoo

    10 S. VLSI 2008

    The Brain Mimicking Visual Attention Engine: An 80x60 Digital Cellular Neural Network for Rapid Global Feature Extraction

    Author | Seungjin Lee, Kwanho Kim, Minsu Kim, Joo-Young Kim, and Hoi-Jun Yoo

    11 DAC 2008

    Vision Platform for Mobile Intelligent Robots Based on 81.6 GOPS Objects Recognition Processor

    Author | Donghyun Kim, Kwanho Kim, Joo-Young Kim, Seungjin Lee, and Hoi-Jun Yoo

    12 BSN 2008

    A Healthcare Monitoring System with Wireless Woven Inductor Channels for Body Sensor Network

    Author | Seulki Lee, Jerald Yoo, and Hoi-Jun Yoo

    13 ISCAS 2008

    A 0.6pJ/b 3Gb/s/ch Transceiver in 0.18 um CMOS for 10mm On-chip interconnects

    Author | Joonsung Bae, Joo-Young Kim, and Hoi-Jun Yoo

    14 ISCAS 2008

    A 6.3nJ/op Low Energy 160-bit Modulo-Multiplier for Elliptic Curve Cryptography Processor

    Author | Hyejung Kim, Yongsang Kim, and Hoi-Jun Yoo

    15 ISCAS 2008

    A 200Mbps 0.02nJ/b dual-mode inductive coupling transceiver for cm-range interconnection

    Author | Seulki Lee, Jerald Yoo, and Hoi-Jun Yoo

    16 BodyNets 2008

    Analysis of Body Sensor Network Using Human Body as the Channel

    Author | Jerald Yoo, Namjun Cho, and Hoi-Jun Yoo

    17 ISSCC 2008

    A 60kb/s-to-10Mb/s, 0.37nJ/b Adaptive-Frequency-Hopping Transceiver for Body-Area Network

    Author | Namjun Cho, Jeabin Lee, Long Yan, Joonsung Bae, Sunyoung Kim, and Hoi-Jun Yoo

    18 ISSCC 2008

    A 1.12mW Continuous Healthcare Monitor Chip Integrated on A Planar-Fashionable Circuit Board

    Author | Hyejung Kim, Youngsang Kim, Young-se Kwon, and Hoi-Jun Yoo

    19 ISSCC 2008

    A 125GOPS 583mW Network-on-Chip Based Parallel Processor with Bio-inspired Visual Attention Engine

    Author | Kwanho Kim, Seungjin Lee, Joo-Young Kim, Minsu Kim, Donghyun Kim, Jeong-Ho Woo, and Hoi-Ju

Year 2007
  • No. Publication Title
    1 A-SSCC 2007

    A 28.5mW 2.8GFLOPS floating-point multifunction unit for handheld 3D graphics processors

    Author | Byeong-Gyu Nam, and Hoi-Jun Yoo

    2 A-SSCC 2007

    A 195mW, 9.1MVertices/s Fully Programmable 3D Graphics Processor for Low Power Mobile Devices

    Author | Jeong-Ho Woo, Ju-Ho Sohn, Hyejung Kim, Jongchel Jeong, Euljoo Jeong, Suk-Joong Lee, and Hoi-Jun Yoo

    3 A-SSCC 2007

    Implementation of Memory-Centric NoC for 81.6 GOPS Object Recognitiion Processor

    Author | Donghyun Kim, Kwanho Kim, Joo-Young Kim, Seungjin Lee, and Hoi-Jun Yoo

    4 A-SSCC 2007

    Bitwise Competition Logic for Compact Digital Comparator

    Author | Joo-Young Kim, and Hoi-Jun Yoo

    5 A-SSCC 2007

    Dynamic Voltage and Frequency Scaling (DVFS) Scheme for Multi-Domains Power Management

    Author | Jeabin Lee, Byeong-Gyu Nam, and Hoi-Jun Yoo

    6 CICC 2007

    An 81.6GOPS Object Recognition Processor Based on NoC and Visual Image Processing Memory

    Author | Donghyun Kim, Kwanho Kim, Joo-Young Kim, Seungjin Lee, and Hoi-Jun Yoo

    7 CICC 2007

    A Real-Time Feedback Controlled Hearing Aid Chip with Reference Ear Model

    Author | Sunyoung Kim, Seung-Jin Lee, Namjun Cho, Seong-Jun Song and Hoi-Jun Yoo

    8 CICC 2007

    An Embedded 8-bit RISC Controller for Yield Enhancement of the 90-nm PRAM

    Author | Hyejung Kim, Kyomin Sohn, Jerald Yoo and Hoi-Jun Yoo

    9 ESSCIRC 2007

    A Low-Power Vector Processor Using Logarithmic Arithmetic for Handheld 3D Graphics Systems

    Author | Byeong-Gyu Nam and Hoi-Jun Yoo

    10 ESSCIRC 2007

    Visual Image Processing RAM for Fast 2-D Data Location Search

    Author | Joo-Young Kim , Donghyun Kim, Seung-Jin Lee, Kwanho Kim, Sunghyun Jeon and Hoi-Jun Yoo

    11 ISLPED 2007

    A Low Power Multimedia SoC with Fully Programmable 3D Graphics and MPEG4/H.264/JPEG for Mobile Devices

    Author | Jeong-Ho Woo, Ju-Ho Sohn, Hyejung Kim, Jongcheol Jeong, Euljoo Jeong, Suk Joong Lee and Hoi-Jun Yoo

    12 EMBC 2007

    The Reference Ear Modeling Method for Internally Feedback Controlled Digital Hearing Aid Chip

    Author | Sunyoung Kim, Seung-Jin Lee, Namjun Cho, Seong-Jun Song and Hoi-Jun Yoo

    13 EMBC 2007

    Energy-Efficient Human Body Communication Receiver Chipset Using Wideband Signaling Scheme

    Author | Seong-Jun Song, Namjun Cho, Sunyoung Kim and Hoi-Jun Yoo

    14 S. VLSI 2007

    Dual Threshold Preamplifier and Multi-Channel DSP for Human Factored Digital Hearing Aid Chip

    Author | Sunyoung Kim, Seung-Jin Lee, Namjun Cho, Seong-Jun Song and Hoi-Jun Yoo

    15 S. VLSI 2007

    A 152mW Mobile Multimedia SoC with Fully Programmable 3D Graphics and MPEG4/H.264/JPEG

    Author | Jeong-Ho Woo, Ju-Ho Sohn, Hyejung Kim, Jongcheol Jeong, Euljoo Jeong, Suk Joong Lee and Hoi-Jun Yoo

    16 S. VLSI 2007

    Processor-Based Built-in Self-Optimizer for 90nm Diode-Switch PRAM

    Author | Kyomin Sohn, Hyejung Kim, Jerald Yoo, Jeong-Ho Woo, Seung-Jin Lee, Woo-Yeong Cho, Bo-Tak Lim, Byung-…

    17 ISCAS 2007

    A Power Management Unit with Continuous Co-Locking of Clock Frequency and Supply Voltage for Dynamic Voltage and Frequency Scaling

    Author | Jeabin Lee, Byeong-Gyu Nam, Seong-Jun Song, Namjun Cho and Hoi-Jun Yoo

    18 ISCAS 2007

    A Low Power Digital Signal Processor with Adaptive Band Activation for Digital Hearing Aid Chip

    Author | Seung Jin Lee, Sunyoung Kim, and Hoi-Jun Yoo

    19 NOCS 2007

    Solutions for Real Chip Implementation Issues of NoC and Their Application to Memory-Centric NoC

    Author | Donghyun Kim, Kwanho Kim, Joo-Young Kim, Seungjin Lee, and Hoi-Jun Yoo

    20 DATE W/S 2007

    Cost-efficient Network-on-Chip Design Using Traffic Monitoring System

    Author | Kwanho Kim, Donghyun Kim, Kangmin Lee, and Hoi-Jun Yoo

    21 BSN 2007

    A Low Power Compression Processor for Body Sensor Network System

    Author | Hyejung Kim, Sungdae Choi, and Hoi-Jun Yoo

    22 BSN 2007

    Low Energy On-Body Communication for BSN

    Author | Hoi-Jun Yoo, Seong-Jun Song, Namjun Cho and Hye-Jeong Kim

    23 DAC 2007

    A 152mW/195mW Multimedia Processor with Fully Programmable 3D Graphics and MPEG/H.264/JPEG for Handheld Devices

    Author | Jeong-Ho Woo, Ju-Ho Sohn, Hyejung Kim, Jongcheol Jeong, Euljoo Jeong, Suk Joong Lee and Hoi-Jun Yoo

    24 ISSCC 2007

    A Fully Integrated Digital Hearing-Aid Chip with Human-Factors Considerations

    Author | Sunyoung Kim, Seung Jin Lee, Namjun Cho, Seong-Jun Song and Hoi-Jun Yoo

    25 ISSCC 2007

    A 0.9V 2.6mW Body-Coupled Scalable PHY Transceiver for Body Sensor Applications

    Author | Seong-Jun Song, Namjun Cho, Sunyoung Kim, Jerald Yoo, Sungdae Choi and Hoi-Jun Yoo

    26 ISSCC 2007

    A 52.4mW 3D Graphics Processor with 141Mvertices/s Vertex Shader and 3 Power Domains of Dynamic Voltage and Frequency Scaling

    Author | Byeong-Gyu Nam, Jeabin Lee, Kwanho Kim, Seung Jin Lee and Hoi-Jun Yoo

Year 2006
  • No. Publication Title
    1 BIOCAS 2006

    Clearphone : A 0.9 V 96 µW Digital Hearing Aid System

    Author | Sunyoung Kim, Namjun Cho, Seong-Jun Song, Donghyun Kim, Kwanho Kim and Hoi-Jun Yoo

    2 A-SSCC 2006

    A Low Power 16-bit RISC with Lossless Compression Accelerator for Body Sensor Network System

    Author | Hyejung Kim, Sungdae Choi, and Hoi-Jun Yoo

    3 A-SSCC 2006

    A TCAM-based Periodic Event Generator for Multi-Node Management in the Body Sensor Network

    Author | Sungdae Choi, Kyomin Sohn, Jooyoung Kim, Jerald Yoo, and Hoi-Jun Yoo

    4 A-SSCC 2006

    A 0.6-V, 6.8-μW Embedded SRAM for Ultra-low Power SoC

    Author | Kyomin Sohn, Sungdae Choi, Jeong-Ho Woo, Jooyoung Kim, and Hoi-Jun Yoo

    5 A-SSCC 2006

    A 210MHz, 15mW Unified Vector and Transcendental Function Unit for Handheld 3-D Graphics Systems

    Author | Byeong-Gyu Nam, Hyejung Kim, and Hoi-Jun Yoo

    6 ISWC 2006

    A Low-power Star-topology Body Area Network Controller for Periodic Data Monitoring Around and Inside the Human Body

    Author | Sungdae Choi, Seong-Jun Song, Kyomin Sohn, Hyejung Kim, Jooyoung Kim, Jerald Yoo, and Hoi-Jun Yoo

    7 ISWC 2006

    Low Power Wearable Audio Player Using Human Body Communications

    Author | Seong-Jun Song, Seung Jin Lee, Namjun Cho, and Hoi-Jun Yoo

    8 ESSCIRC 2006

    A 24.2-μW Dual-Mode Human Body Communication Controller for Body Sensor Network

    Author | Sungdae Choi, Seong-Jun Song, Kyomin Sohn, Hyejung Kim, Jooyoung Kim, Namjun Cho, Jeong-Ho Woo, Jera…

    9 ESSCIRC 2006

    A 4.8-mW 10-Mb/s Wideband Signaling Receiver Analog Front-End for Human Body Communications

    Author | Seong-Jun Song, Namjun Cho, Sunyoung Kim, and Hoi-Jun Yoo

    10 ESSCIRC 2006

    A Sub 1V 96μW Fully Operational Digital Hearing Aid Chip With Internal Status Controller

    Author | Sunyoung Kim, Namjun Cho, Seong-Jun Song, Donghyun Kim, Kwanho Kim and Hoi-Jun Yoo

    11 CICC 2006

    A Multi-Nodes Human Body Communication Sensor Network Control Processor

    Author | Sungdae Choi, Seong-Jun Song, Kyomin Sohn, Hyejung Kim, Jooyoung Kim, Namjun Cho, Jeong-Ho Woo, Jera…

    12 CICC 2006

    A Low-Power Unified Arithmetic Unit for Programmable Handheld 3-D Graphics Systems

    Author | Byeong-Gyu Nam, Hyejung Kim, and Hoi-Jun Yoo

    13 S. VLSI 2006

    A 0.9-V 96-μW Digital Hearing Aid Chip with Heterogeneous Σ-Δ DAC

    Author | Sunyoung Kim, Namjun Cho, Seong-Jun Song, Donghyun Kim, Kwanho Kim and Hoi-Jun Yoo

    14 ISCAS 2006

    A 10-μW Digital Signal Processor with Adaptive-SNR Monitoring for a Sub-1V Digital Hearing Aid

    Author | Jerald Yoo, Sunyoung Kim, Namjun Cho, Seong-Jun Song, and Hoi-Jun Yoo

    15 ISCAS 2006

    A 372ps 64-bit Adder using Fast Pull-up Logic in 0.18-um CMOS

    Author | Jooyoung Kim, Kangmin Lee and Hoi-Jun Yoo

    16 DATE 2006

    Design and Test of Fixed-point Multimedia Co-processor for Mobile Applications

    Author | Ju-Ho Sohn, Jeong-Ho Woo, Jerald Yoo and Hoi-Jun Yoo

    17 ISSCC 2006

    A 2Mb/s Wideband Pulse Transceiver with Direct-Coupled Interface for Human Body Communications

    Author | Seong-Jun Song, Namjun Cho, Sunyoung Kim, Jerald Yoo and Hoi-Jun Yoo

Year 2005
  • No. Publication Title
    1 A-SSCC 2005

    A 231MHz, 2.18mW 32-bit Logarithmic Arithmetic Unit for Fixed-Point 3D Graphics System

    Author | Hyejung Kim, Byeong-Gyu Nam, Ju-Ho Sohn and Hoi-Jun Yoo

    2 A-SSCC 2005

    A 1.2Mpixels/s/mW 3-D Rendering Processor For Portable Multimedia Application

    Author | Jeong-Ho Woo, Min-Wuk Lee, Hyejung Kim, Ju-Ho Sohn and Hoi-Jun Yoo

    3 A-SSCC 2005

    Networks-on-chip and Networks-in-Package for High-Performance  SoC Platforms

    Author | Kangmin Lee, Se-Joong Lee, Donghyun Kim, Kwanho Kim, Gawon Kim, Joungho Kim, and Hoi-Jun Yoo

    4 ESSCIRC 2005

    A 5.1-μW UHF RFID Tag Chip integrated with Sensors for Wireless Environmental Monitoring

    Author | Namjun Cho, Seong-Jun Song, Jae-Youl Lee, Sunyoung Kim, Shiho Kim, and Hoi-Jun Yoo

    5 ESSCIRC 2005

    A Fixed-point Multimedia Co-processor with 50Mvertices/s Programmable SIMD Vertex Shader for Mobile Applications

    Author | Ju-Ho Sohn, Jeong-Ho Woo, Min-wuk Lee, Hye-Jung Kim, Ramchan Woo, and Hoi-Jun Yoo

    6 S. VLSI 2005

    An Energy-Efficient Analog Front-End Circuit for a Sub-1V Digital Hearing Aid Chip

    Author | Sunyoung Kim, Jae-Youl Lee, Seong-Jun Song, Namjun Cho, and Hoi-Jun Yoo

    7 S. VLSI 2005

    An Autonomous SRAM with On-Chip Sensors in an 80nm Double Stacked Cell Technology

    Author | Kyomin Sohn, Namjun Cho, Hyejung Kim, Kwanho Kim, Hyun-Sun Mo, Young-Ho Suh, Hyun-Geun Byun and Hoi-…

    8 S. VLSI 2005

    Adaptive Network-on-Chip with Wave-Front Train Serialization Scheme

    Author | Se-Joong Lee, Kwanho Kim, Hyejung Kim, Namjun Cho, and Hoi-Jun Yoo

    9 ISCAS 2005

    A Fixed-Point 3D Graphics Library with Energy-Efficient Cache Architecture for Mobile Multimedia Systems

    Author | Min-wuk Lee, Byeong-Gyu Nam, Ju-Ho Sohn, Namjun Cho, Hyejung Kim, Kwanho Kim, and Hoi-Jun Yoo

    10 ISCAS 2005

    A 8-μW, 0.3mm2 RF-Powered Transponder With Temperature Sensor for Wireless Environmental Monitoring

    Author | Namjun Cho, Seong-Jun Song, Jae-Youl Lee, Sunyoung Kim, Shiho Kim, and Hoi-Jun Yoo

    11 ISCAS 2005

    A 0.9-V 67-μW Analog Front-End Using Adaptive-SNR Technique for Digital Hearing Aid

    Author | Sunyoung Kim, Jae-Youl Lee, Seong-Jun Song, Namjun Cho, and Hoi-Jun Yoo

    12 ISCAS 2005

    An Arbitration Look-Ahead Scheme for Reducing End-to-End Latency in Networks-on-Chip

    Author | Kwanho Kim, Se-Joong Lee, Kangmin Lee and Hoi-Jun Yoo

    13 ISCAS 2005

    A Reconfigurable Crossbar Switch with Adaptive Bandwidth Control for Networks-on-Chip

    Author | Donghyun Kim, Kangmin Lee, Se-Joong Lee and Hoi-Jun Yoo

    14 ISSCC 2005

    A 50Mvertices/s Graphics Processor with Fixed-Point Programmable Vertex Shader for Mobile Applications

    Author | Ju-Ho Sohn, Jeong-Ho Woo, Min-Wuk Lee, Hye-Jung Kim, Ramchan Woo and Hoi-Jun Yoo

Year 2004
  • No. Publication Title
    1 ICCAD 2004

    SILENT : Serialized Low Energy Transmission Coding for On-Chip Interconnection Networks

    Author | Kangmin Lee, Se-Joong Lee and Hoi-Jun Yoo

    2 ISOCC 2004

    Low Energy Transmission Coding for On-Chip Serial Communications

    Author | Kangmin Lee, Se-Joong Lee and Hoi-Jun Yoo

    3 ESSCIRC 2004

    A Small Ripple Regulated Charge Pump with Automatic Pumping Control Schemes

    Author | Sung-Eun Kim, Seong-Jun Song, Jin Kyung Kim, Sunyoung Kim, Jae-Youl Lee and Hoi-Jun Yoo

    4 Graphics Hardware 2004

    A Programmable Vertex Shader with Fixed-Point SIMD Datapath for Low Power Wireless Applications

    Author | Ju-Ho Sohn, Ramchan Woo and Hoi-Jun Yoo

    5 AP-ASIC 2004

    Arbitration Latency Analysis of the Shared Channel Architecture for High Performance Multi-Master SoC

    Author | Jisun Suh and Hoi-Jun Yoo

    6 ISSCC 2004

    A 0.7fJ/bit/search, 2.2ns Search-time, Hybrid type TCAM Architecture

    Author | Sungdae Choi, Kyomin Sohn, Min-Wuk Lee, Sunyoung Kim, Hye-Mi Choi, Donghyun Kim, Uk-Rae Cho, Hyun-Ge…

    7 ISSCC 2004

    A 51mW 1.6GHz On-Chip Network for Low-Power Heterogeneous SoC Platform

    Author | Kangmin Lee, Se-Joong Lee, Sung-Eun Kim, Hye-Mi Choi, Donghyun Kim, Sunyoung Kim, Min-Wuk Lee and …

    8 ASP-DAC 2004

    A Low-Power Graphics LSI integrating 29Mb Embedded DRAM for Mobile Multimedia Applications

    Author | Ramchan Woo, Sungdae Choi, Ju-Ho Sohn, Seong-Jun Song, Young-Don Bae and Hoi-Jun Yoo

Year 2003
  • No. Publication Title
    1 IP SoC 2003

    An analysis and implementation of high fairness arbitration mechanism by  using level-table and static priority orders in shared bus architecture

    Author | Jisuhn Suh and Hoi-Jun Yoo

    2 CICC 2003

    A Distributed On-Chip Crossbar Switch Scheduler for On-Chip Network

    Author | Kangmin Lee, Se-Joong Lee and Hoi-Jun Yoo

    3 ESSCIRC 2003

    A 10Gbps/port 8x8 Shared Bus Switch with embedded DRAM Hierarchical Output Buffer

    Author | Kangmin Lee, Se-Joong Lee and Hoi-Jun Yoo

    4 ESSCIRC 2003

    A High-Speed and Lightweight On-Chip Crossbar Switch Scheduler for On-Chip Interconnection Networks

    Author | Kangmin Lee, Se-Joong Lee and Hoi-Jun Yoo

    5 ESSCIRC 2003

    A Low-Power 3D Rendering Engine with Two Texture Units and 29Mb Embedded DRAM for 3G Multimedia Terminals

    Author | Ramchan Woo, Sungdae Choi, Ju-Ho Sohn, Seong-Jun Song, and Hoi-Jun Yoo

    6 HotChips 2003

    A Low-Power and High-Performance 2D/3D Graphics Accelerator for Mobile Multimedia Applications

    Author | Ramchan Woo, Sungdae Choi, Ju-Ho Sohn, Seong-Jun Song, Young-Don Bae and Hoi-Jun Yoo

    7 ISCAS 2003

    CMOS Optical Receiver Chipset for Gigabit Ethernet Applications

    Author | Sung-Eun Kim, Seong-Jun Song, Sung-Min Park and Hoi-Jun Yoo

    8 ISSCC 2003

    An 800MHz Star-Connected On-Chip Network for Application to Systems on a Chip

    Author | Se-Joong Lee, Seong-Jun Song, Kangmin Lee, Jeong-Ho Woo, Sung-Eun Kim, Byeong-Gyu Nam and Hoi-Jun Y…

    9 ISSCC 2003

    A 210mW Graphics LSI Implementing Full 3D Pipeline with 264Mtexels/s Texturing for Mobile Multimedia Applications

    Author | Ramchan Woo, Sungdae Choi, Ju-Ho Sohn, Seong-Jun Song, Young-Don Bae, Chi-Weon Yoon, Byeong- Gyu …

Year 2002
  • No. Publication Title
    1 GLOBECOM 2002

    A practical method to use eDRAM in the shared bus packet switch

    Author | Kangmin Lee, Se-joong Lee and Hoi-Jun Yoo

    2 SSDM 2002

    Design and Implementation of Read-Compare-Write circuits for low power Multi-Gigabit DRAM

    Author | Sungdae Choi, Yong-Ha Park and Hoi-Jun Yoo

    3 ISCAS 2002

    Optimization of portable system architecture for real time 3D graphics

    Author | Ju-ho Sohn, Ramchan Woo and Hoi-Jun Yoo

    4 SSDM 2002

    Gigabit Throughput CMOS ICs for Optical Interconnection Applications

    Author | Hoi-Jun Yoo

    5 ESSCIRC 2002

    A 4-Gb/s Clock and Data Recovery Circuit Using Four-Phase 1/8-Rate Clock

    Author | Seong-Jun Song, Jaeseo Lee, Sung-Min Park and Hoi-Jun Yoo

    6 CoolChips 2002

    Low Power MPEG-4 Video Codec Hardware for Portable Applications

    Author | Chi-Weon Yoon and Hoi-Jun Yoo

    7 ISSCC 2002

    A Multichip-on-Oxide 1.0Gb/s 80dBΩ Fully-Differential CMOS Transimpedance Amplifier for Optical Interconnect Applications

    Author | Jaeseo Lee, Seong-Jun Song, Sung Min Park, Choong-Mo Nam, Young-Se Kwon and Hoi-Jun Yoo

    8 ASP-DAC 2002

    Embedded DRAM (eDRAM) Power-Energy Estimation for System-on-a-Chip (SoC) Applications

    Author | Yong-Ha Park, Jeonghoon Kook and Hoi-Jun Yoo

Year 2001
  • No. Publication Title
    1 SCI 2001 ISAS 2001

    SOC Design Approaches Optimized for VLSI Fabrication Technologies

    Author | Se-Jeong Park, Chi-Weon Yoon and Hoi-Jun Yoo

    2 S. VLSI 2001

    120mW Embedded 3D Graphics Rendering Engine with 64Mb Logically Local Frame Buffer and 3.2GByte/s Run-time Reconfigurable Bus for PDA-Chip

    Author | Ramchan Woo, Chi-Weon Yoon, Jeonghoon Kook, Se-Joong Lee, Kangmin Lee, Yong-Ha Park and Hoi-Jun Yoo

    3 S. VLSI 2001

    Low Power Motion Compensation Block IP with embedded DRAM Macro for Portable Multimedia Applications

    Author | Chi-Weon Yoon, Jeonghoon Kook, Ramchan Woo, Se-Joong Lee, Kangmin Lee and Hoi-Jun Yoo

    4 S. VLSI 2001

    480ps 64-bit Race Logic Adder

    Author | Se-Joong Lee, Ramchan Woo and Hoi-Jun Yoo

    5 S. VLSI 2001

    A Reconfigurable Multilevel Parallel Graphics Cache Memory with75 GB/s Parallel Cache Replacement Bandwidth

    Author | Se-Jeong Park, Jeong-Su Kim, Ramchan Woo, Se-Joong Lee, Kang-Min Lee, Tae-Hum Yang, Jin-Yong Jung a…

    6 ASP-DAC 2001

    Single Chip 3D Rendering Engine Integrating Embedded DRAM Frame Buffer and Hierarchical Octet Tree (HOT) Array Processor with Bandwidth Amplification

    Author | Yong-Ha Park, Sun-Ho Han and Hoi-Jun Yoo (The outstanding Design Award)

    7 ISSCC 2001

    80/20MHz 160mW Multimedia Processor integrated with Embedded DRAM MPEG-4 Accelerator 3D Rendering Engine for Mobile  Applications

    Author | Chi-Weon Yoon, Ramchan Woo, Jeonghoon Kook, Se-Joong Lee, Kangmin Lee, Young-Don Bae, In-Cheol Park…

    8 ISCAS 2001

    A Comparative Analysis of a DDR-SDRAM, a D-RDRAM and a DDR- FCRAM Using a POPeye Simulator

    Author | Kangmin Lee, Chi-Weon Yoon, Ramchan Woo, Jeonghoon Kook and Hoi-Jun Yoo

    9 ISCAS 2001

    Design and Implementation of CMOS LVDS 2.5Gb/s Transmitter and 1.3Gb/s Receiver for Optical Interconnections

    Author | Jaeseo Lee, Jae-Won Lim, Sung-Jun Song, Sung-Sik Song, Wang-Joo Lee and Hoi-Jun Yoo

Year 2000
  • No. Publication Title
    1 ISSCC 2000

    A 7.1GB/s Low Power 3D Rendering Engine in 2D Array Embedded Memory Logic CMOS

    Author | Yong-Ha Park, Sun-Ho Han, Jung-Su Kim, Se-Joong Lee, Jeong-Hun Kook, Jae-Won Lim, Ramchan Woo, Hoi-J…

    2 ESSCIRC 2000

    A Novel High Speed Low Power Logic Family : Race Logic

    Author | Se-Joong Lee and Hoi-Jun Yoo

    3 ESSCIRC 2000

    A Single Bit line Writng Scheme for Low Power Reconfigurable I/O DRAM Macro

    Author | Jeonghon Kook and Hoi-Jun Yoo

    4 ISCAS 2000

    One chip - low power Digital-TCXO with Sub-ppm Accuracy

    Author | Se-Joong Lee, Jin-Ho Han, Seung-Ho Hank, Joe-Ho Lee, Jung-Su Kim, Min-Kyu Je and Hoi-Jun Yoo

    5 ISCAS 2000

    A 670ps, 64bit Dynamic Low-Power Adder Design

    Author | Ramchan Woo, Se-Joong Lee and Hoi-Jun Yoo

    6 ICVC 2000

    POPeye: A System Analysis Tool for DRAM Performance Measurement

    Author | Yon-Kyun Im, Chi-Weon Yoon, Hoi-Jun Yoo and Tae-Sung Jung

    7 ISSCC 2000

    A 330MHz Low Jitter Fast Locking Direct Skew Compensation DLL

    Author | Joo-Ho Lee, Seon-Ho Han and Hoi-Jun Yoo

Year 1999
  • No. Publication Title
    1 APASIC 1999

    A VPM(Virtual Pipelined Memory) Architecture for a Fast Row-Cycle DRAM

    Author | Chi-Weon Yoon, Yon-Kyun Im, Seon-Ho Han, Hoi-Jun Yoo and Tae-Sung Jung

    2 ICVC 1999

    7.1GB/s Bandwidth 3D Rendering Engine Using the EML Technology

    Author | Yong-Ha Park, Ramchan Woo, Seon-Ho Han, Jung-Su Kim, Se-Joong Lee, Jeong-Hoon Kook, Jae-Won Lim and …

    3 ICVC 1999

    A Fast Lock-On Time Mixed Mode DLL With 10ps Jitter

    Author | Seon-Ho Han, Joo-Ho Lee and Hoi-Jun Yoo

    4 ICVC 1999

    The CMOS Temperature Sensor and Cyclic ADC For Low Power Single Chip DTCXO

    Author | Joo-Ho Lee, Seon-Ho Han and Hoi-Jun Yoo

    5 ICVC 1999

    A Fast Synchronous Pipelined DRAM (SP-DRAM) Architecture with SRAM Buffers

    Author | Chi-Weon Yoon, Yon-Kyun Im, Seon-Ho Han, Hoi-Jun Yoo and Tae-Sung Jung

Year 1995
  • No. Publication Title
    1 ISSCC 1995

    A 150MHz &Banks 256M Synchronous DRAM with Wave Pipelining Methods

    Author | HoiJun Yoo, Kee-Woo Park, Chang-Ho Chung, SeungJun Lee, HakJun Oh, Jin-Sung Son, Ki-Hong Park, Ki-Wo…

Address#1233, School of Electrical Engineering, KAIST, 291 Daehak-ro (373-1 Guseong-dong), Yuseong-gu, Daejeon 34141, Republic of Korea
Tel +82-42-350-8068 Fax +82-42-350-3410E-mail sslmaster@kaist.ac.kr·© SSL. All Rights Reserved.·Design by NSTAR