- Artificial electromagnetic structures of negative refractive index for superlens or invisible cloaking
- Terahertz Imaging
- Wireless communication using microwave orbital angular momentum
-
2013 ~ Professor at Dept. of Electronics Eng., Ewha Womans Univ., Korea
2008 ~ 2012 Associate Professor at Dept. of Electronics Eng., Ewha Womans Univ., Korea
2004 ~ 2007 Assistant Professor at Dept. of Information Electronics Eng., Ewha Womans Univ., Korea
2002 ~ 2003 Assistant Professor at the School of Electrical Eng., Univ. of Ulsan, Korea
2001 ~ 2002 Research Professor on High-Speed Mixed-Mode Interface, KAIST, Korea
2000 ~ 2001 Senior Researcher on RF Communication Systems, SaTReC, Korea
1998 ~ 2000 Research Staff in High-Speed Optical Interconnects, Imperial College, UK
- SOC architecture and implementation for multimedia and 3D graphics systems using MDL(Merged DRAM Logic) technology
- Embedded operating systems
1999. 7 ~ 2001. 6 Research on real-time 3D graphics hardware
1998.12 ~ 2001. 1 Development of Application Specific Embedded Memory Logic Design Technology
1997.1 ~ 1998.12 Development of a VDR(Video Disk Recorder) for HDTV
1996.1 ~ 1998.12 System On Silicon Project
- International Journal Papers
A Reconfigurable Multilevel Parallel Texture Cache Memory with 75 GB/s Parallel Cache Replacement Bandwidth
Se-Jeong Park, Jeong-Su Kim, Ramchan Woo, Se-Joong Lee, Kang-Min Lee, Tae-Hum Yang, Jin-Yong Jung and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuits, pages 612-623, Vol. 37. No. 5, May 2002
Hidden Double Data Transfer Scheme for MDL Design [Merged DRAM Logic]
Se-Jeong Park and Hoi-Jun Yoo
IEEE Electronics Letters, Vol. 37, No. 11, pp. 676-677, May 2001
- International Conference Papers
A Reconfigurable Multilevel Parallel Graphics Cache Memory with 75 GB/s Parallel Cache Replacement Bandwidth
IEEE Symposium on VLSI Circuits, Digest of Technical Papers. pp. 233-236, 2001
SOC Design Approaches Optimized for VLSI Fabrication Technologies
Se-Jeong Park, Chi-Weon Yoon and Hoi-Jun Yoo
SCI 2001/ISAS 2001, July 2001
A Video Disk Array for Uncompressed HDTV Signal
Se-Jeong Park, Joo-Young Hwang, Kyung-Ho Kim, Chang-Kyu Lee, Sung-Hoon Baek, Jee-Hee Yeo, Jong-Hwa Lee and Kyu-Ho Park
International Workshop on HDTV-98
- Domestic Conference Papers
Nonlinear Editing System for HDTV
Se-Jeong Park, Joo-Young Hwang, Jong-Hwa Lee and Kyu-Ho Park
- High Speed Serial Interface
- Biomedical Applications - Hearing Aids
- Low power SDRAM
- iMEMS
1999. 2 Ph.D. in Materials Science and Engineering, KAIST
Thesis: A Study on the actuation characteristics of thermally-driven polycrystalline silicon
microridge for switching device applications
1994. 2 M.S. in Materials Science and Engineering, KAIST
Thesis: A Study on the cermet electrode of 3Bi2O3.WO3 solid electrolyte
A characterization of the thermal parameters of thermally driven polysilicon microbridge actuators using electrical impedance analysis
Jae-Youl Lee, Sang-Won Kang
Sensors and Actuators A, Vol. 75, pp. 222 - 229, 1999
Medium-temperature performance of cermet electrode containgin Ag and 3Bi2O3.WO3
Jae-Youl Lee, C. O. Park, H. D. Baek and J. S. Hwang
Sensors and Actuators B, Vol. 28, pp. 211 - 215, 1995
A new thermal characterization technique for thermal actuators using a frequency response analyzer
Inernational Microprocesses and Nanotechnology Conference, 15C-6-45, 1998
Extraction of thermal parameters for a thermal microactuator
The 4th International Conference on Electronic materials, C-THU-17, 1998
- ASIP design space exploration
- Retargetable framework
- Hardware modeling and simulation
- Model translation
- Ubiquitous computing
2006. 2 Ph.D. in EE, Korea Advanced Institute of Science and Technology
1999. 2 M.S. in EE, Korea Advanced Institute of Science and Technology
Thesis: Hardware Module Interchange Format for HW/SW Co-simulation
1997. 2 B.S. in EE, Yonsei University
- Mobile 3D Graphics
- MPEG Video Post-Processor
- Embedded Memory Logic Design
- Low Power Design
A Bit-Wise Read-Compare-Write Scheme for Low Power Read-Modify-Write Scheme
Yong-Ha Park, Sungdae Choi and Hoi-Jun Yoo
IEEE Electronics Letters , Vol. 38, No. 2, pp. 62-63, 17, Jan. 2002
Embedded DRAM (eDRAM) Power Energy Estimation Using Signal Swing-Based Analytical Model
Yong-Ha Park and Hoi-Jun Yoo
IEICE Transactions on Electronics, 2002
A 7.1GB/s Low-power Rendering Engine in 2D Array-embedded Memory Logic CMOS for Portable Multimedia System
Yong-Ha Park, Seon-Ho Han, Jung-Hwan Lee and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuits, Vol. 36, No. 6, pp. 944-955, June 2001
Embedded DRAM (eDRAM) power-energy estimation for system-on-a-chip (SoC) applications
Yong-Ha Park, Jeonghoon Kook and Hoi-Jun Yoo
Design Automation Conference, 2002. Proceedings of ASP-DAC 2002
7th Asia and South Pacific and the 15th International Conference on VLSI Design, pp. 625-630, 2002
Single Chip 3D Rendering Engine Integrating Embedded DRAM Frame Buffer and Hierarchical Octet Tree (MOT) Array Processor with Bandwidth Amplification
Yong-Ha Park, Seon-Ho Han and Hoi-Jun Yoo
Design Automation Conference, 2001
Proceedings of the ASP-DAC 2001. Asia and South Pacific, pp.9-10,2001
A 7.1 GB/s low-power 3D rendering engine in 2D array-embedded memory logic CMOS
Yong-Ha Park, Seon-Ho Han, Jung-Su Kim, Se-Joong Lee, Jeong-Hun Kook, Jae-Won Lim, Ramchan Woo, Hoi-Jun Yoo, Jeong-Hwan Lee and Jay-Hyun Lee
IEEE International of Solid-State Circuits Conference, 2000
7.1 GB/sec bandwidth 3D rendering engine using the EML technology
Yong-Ha Park, Ramchan Woo, Sun-Ho Han, Jung-Su Kim, Se-Joong Lee, Jeong-Hun Kook, Jae-Woon Lim and Hoi-Jun Yoo
6th International Conference on VLSI and CAD, pp. 277-280, 1999
- Flash Memory Design
An 80/20-MHz 160-mW Multimedia Processor Integrated with Embedded DRAm, MPEG-4 Accelerator, and 3-D Renderiing Engine for Mobile Applications
Chi-Weon Yoon, Ramchan Woo, Jeonghoon Kook, Se-Joong Lee, Kangmin Lee and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuits (JSSC), Vol.36, No.11, November, 2001
Low Power Motion Estimation and Motion Compensation Block IPs in MPEG-4 Video Codec Hardware for Portable Applications
Chi-Weon Yoon and Hoi-Jun Yoo
The Institute of Electronics, Information and Communications Engineers (IEICE),Tr.on Electronics Vol. E86-C, No.4, April 2003
Low power MPEG-4 Video Codec Hardware for Portale Applications
International Symposium on Low-Power and High-Speed Chips (Coolchips-V), pp.77-89, April 2002
A 80/20MHz 160mW Multimedia Processor integrated with Embedded DRAM, MPEG-4 Accelerator, and 3D Rendering Engine for Mobile Applications
Chi-Weon Yoon, Ramchan Woo, Jeonghoon Kook, Se-Joong Lee, Kangmin Lee, Young-Don Bae, In-Cheol Park and Hoi-Jun Yoo
IEEE International Solid-State Circuits Conference (ISSCC), Feb. 5~8. 2001, San Fancisco
Low Power Motion Compensation Block IP with emdedded DRAM Macro for Portable Multimedia Applications
Chi-Weon Yoon, Jeonghoon Kook, Ramchan Woo, Se-Joong Lee, Kangmin Lee and Hoi-Jun Yoo
IEEE Symposium on VLSI Circuits (SOVC), June 12~14, 2001, Kyoto, Japan
A VPM(Virtual Pipelined Memory) Achitecture for a Fast Row-Cycle DRAM
Chi-Weon Yoon, Yon-Kyun Im, Seon-Ho Han, Hoi-Jun Yoo and Tae-Sung Jung
IEEE International Conference on VLSI and CAD (ICVC), pp. 388-391, 1999
2005. MSc in International Executive Management, Lancaster University, UK
2004. Ph.D. in EE, Korea Advanced Institute of Science and Technology
2001. M.S. in EE, Korea Advanced Institute of Science and Technology
Thesis: Design and Implementation of Low-Power Embedded 3D Graphics Rendering Engine
for Mobile Applications using the Embedded Memory Logic Technology
1999. B.S. in EE, Korea Advanced Institute of Science and Technology Summa Cum Laude
1995. Daejeon Science High School Valedictorian
A Low-Power 3D Rendering Engine with Two Texture Units and 29Mb Embedded DRAM for 3G Multimedia Terminals
Ramchan Woo, Sungdae Choi, Ju-Ho Sohn, Seong-Jun Song, Young-Don Bae and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuits (JSSC), Jul. 2004
210mW Graphics LSI Implementing Full 3D Pipeline with 264Mtexels/s Texturing for Mobile Multimedia Applications
Ramchan Woo, Sungdae Choi, Ju-Ho Sohn, Seong-Jun Song and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuits (JSSC), Feb. 2004
A 120mW 3D Graphics Rendering Engine with 6Mb Embedded DRAM and 3.2Gbyte/s Runtime Reconfigurable Bus for PDA-Chip
Ramchan Woo, Chi-Weon Yoon, Jeonghoon Kook, Se-Joong Lee and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuits (JSSC), Oct. 2002
3D Graphics Circuit for 3G Multimedia Terminals(Invited Presentation)
Ramchan Woo
IEEE International Symposium on System-on-Chip 2004
A Low-Power Graphics LSI integrating 29Mb Embedded DRAM for Mobile Multimedia Applications
IEEE Asia-South-Pacific Design Automation Conference 2004 (ASP-DAC 2004) : University Design Contest
A 210mW Graphics LSI implementing Full 3D Pipeline with 264Mtexels/s Texturing for Mobile Multimedia Applications
Ramchan Woo, Sungdae Choi, Ju-Ho Sohn, Seong-Jun Song,Young-Don Bae, Chi-Weon Yoon, Byeong-Gyu Nam, Jeong-Ho Woo, Sung-Eun Kim, In-Cheol Park, Sungwon Shin, Kyung-Dong Yoo, Jin-Yong Chung and Hoi-Jun Yoo
IEEE International Solid-State Circuits Conference 2003 (ISSCC 2003)
A Low-Power and High-Performance 2D/3D Graphics Accelerator for Mobile Multimedia Applications
IEEE 15th International Hot Chips Conference 2003 (HotChips 2003)
IEEE European Solid-State Circuits Conference (ESSCIRC 2003)
A 120mW Embedded 3D Graphics Rendering Engine with 6Mb Logically Local Frame-Buffer and 3.2GByte/s Run-time Reconfigurable Bus for PDA-Chip
Ramchan Woo, Chi-Weon Yoon, Jeonghoon Kook, Se-Joong Lee, Kangmin Lee, Yong-Ha Park and Hoi-Jun Yoo
IEEE Symposium on VLSI Circuits 2001 (VLSI 2001)
A 670ps, 64bit Dynamic Low-Power Adder Design
Ramchan Woo, Se-Joong Lee and Hoi-Jun Yoo
IEEE International Symposium on Circuits and Systems 2000 (ISCAS 2000)
The Technology Trends of Embedded Processors on Portable Systems
Hoi-Jun Yoo and Ramchan Woo
The Magazine of the IEEK July, 2001 (Korean)
- On-Chip Network for System-On-Chip Design
(This includes the study of optimal topology, switch structure, flow control, high-speed interconnection, and the implementation of all of these.)
- High-speed Digital Logic Design
(This includes the study of the fundamental concepts of logic operations and circuit level contributions for various logic schemes)
2005. 8 Ph.D. in EE, Korea Advanced Institute of Science and Technology
Thesis: Cost-Optimization and Chip Implemenation of On-Chip Network
2001. 2 M.S. in EE, Korea Advanced Institute of Science and Technology
Thesis: Performance Analysis of Gigabit Ethernet Shared-Memory Switch with Embedded DRAM
1999. 2 B.S. in EE, Korea Advanced Institute of Science and Technology
1995. 2 Daejeon Science High School
Analysis and Implementation of Practical Cost-Effective Network-on-Chips
Se-Joong Lee, Kangmin Lee and Hoi-Jun Yoo
IEEE Design & Test of Computers (Special Issue for NoC), Sep.-Oct. 2005
Packet-Switched On-Chip Interconnection Network for System-on-Chip Applications
Se-Joong Lee, Kangmin Lee, Seong-Jun Song and Hoi-Jun Yoo
IEEE Transactions on Circuits and Systems II (TCAS), vol. 52, No. 6, pp. 308-312, June 2005
Race Logic Architecture (RALA): A Novel Logic Concept Using the Race Scheme of Input Variables
Se-Joong Lee and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuit (JSSC), Vol. 37, No. 2, pp. 191-201, Feb. 2002
A Network-on-Chip with 3Gbps/wire Serialized On-chip Interconnect Using Adaptive Control Schemes
Se-Joong Lee, Kwanho Kim, Hyejung Kim, Namjun Cho and Hoi-Jun Yoo
Design, Automation and Test in Europe (DATE), Mar. 2006
Adaptive Network-on-Chip with Wave-Front Train Serialization Scheme
IEEE Symposium on VLSI (SOVC), May 2005
An 800MHz Star-Connected On-Chip Network for Application to Systems on a Chip
Se-Joong Lee, Seong-Jun Song, Kangmin Lee, Jeong-Ho Woo, Sung-Eun Kim, Byeong-Gyu and Hoi-Jun Yoo
IEEE International Solid-State Circuits Conference (ISSCC), pp.468 - 469, 2003
480ps 64-bit Race Logic Adder
Se-Joong Lee, Ramchan Woo and Hoi-Jun Yoo
IEEE Symposium on VLSI Circuits (SOVC), pp. 99-102, 2001
A Novel High Speed Low Power Logic Family : Race Logic
IEEE European Solid-State Circuit Conference (ESSCIRC), pp. 420-423, Sept. 2000
One chip - low power Digital-TCXO with Sub-ppm Accuracy
IEEE International Symposium on Circuits and Systems (ISCAS), vol. 3, pp. 17-20, May 28, 2000
- Wireless Modem Design (2G/3G/WiMAX/LTE)
Thesis: Design and Implementation of Low-Power Network-on-Chip for Application to
High-Performance System-on-Chip Design
2002. 8 M.S. in EE, Korea Advanced Institute of Science and Technology
Thesis: Design and Implementation of a 80Gbps Shared Bus Packet Switch using Embedded DRAM
2000. 6 Visiting Student in CS, University of California Berkeley (Summer Session)
2000. 2 B.S. in EE, Korea Advanced Institute of Science and Technology
Overal GPA of 3.84 / 4.3
1996. 2 Chungnam Science High School
Graduate with the honor of Second rank in one year early
- Book
Low-Power NoC for High-Performance SoC Design
Hoi-Jun Yoo, Kangmin Lee, and Jun-Kyoung Kim
Morgan Kaufmann, 2008
- Book Chapters
Networks on Chips: Technology and Tools - Physical Network Layer
Hoi-Jun Yoo, Kangmin Lee, and Se-Joong Lee
in G. De Micheli CRC Press, July 2006
Networks on Chips: Technology and Tools - Design and Implementation of NoC-based SoCs
Hoi-Jun Yoo, Kangmin Lee, Se-Joong Lee and Kwanho Kim
Low-Power Network-on-Chip for High-Performance SoC Design
Kangmin Lee, Se-Joong Lee, and Hoi-Jun Yoo
IEEE Transactions on VLSI Systems, Vol 14, No 2, pp. 148-160, February 2006
POPeye: A Simulator for a DRAM Performance Evaluation
Kangmin Lee, Chi-Weon Yoon, Ramchan Woo, Jeonghoon Kook, and Hoi-Jun Yoo
Journal of Semiconductor Technology and Science (Special issue on the 2001 Korean
Conference on Semiconductors), Vol 1, No 2, pp. 116-124, June 2001
Networks-on-chip and Networks-in-Package for High-Performance SoC Platforms
Kangmin Lee, Se-Joong Lee, Donghyun Kim, Kwanho Kim, Gawon Kim, Joungho Kim, and Hoi-Jun Yoo
IEEE Asian Solid-State Circuits Conference (A-SSCC) 2005, Accepted for Design Contest, pp.485-488, Nov. 2005
SILENT: Serialized Low Energy Transmission Coding for On-Chip Interconnection Networks
IEEE International Conference on Computer Aided Design (ICCAD), pp. 448-451, Nov. 2004
Low Energy Transmission Coding for On-Chip Serial Communications
IEEE International SOC Conference, pp. 177-178, Sep. 2004
A 51mW 1.6GHz On-Chip Network for Low-Power Heterogeneous SoC Platform
Kangmin Lee, Se-Joong Lee, Sung-Eun Kim, Hye-Mi Choi, Donghyun Kim, Sunyoung Kim, Min-Wuk Lee and Hoi-Jun Yoo
IEEE International Solid-State Circuits Conference (ISSCC), pp. 153-154, Feb, 2004
A Distributed On-Chip Crossbar Switch Scheduler for On-Chip Networks
IEEE Custom Integrated Circuits Conference(CICC), pp. 671-674, Sep. 2003. San Jose, CA
A High-Speed and Lightweight On-Chip Crossbar Switch Scheduler for On-Chip Interconnection Networks
IEEE European Solid State Circuits Conference (ESSCIRC), pp. 453-456, Sep. 2003. Estoril,Portugal
A 10Gbps/port 8x8 Shared Bus Switch with embedded DRAM Hierarchical Output Buffer
IEEE European Solid State Circuits Conference (ESSCIRC), pp. 461-464, Sep. 2003. Estoril,Portugal
A Practical Method to use eDRAM in the Shared Bus Switch
IEEE Global Telecommunications Conference (GLOBECOM), Vol. 1, pp. 769-772, Nov. 2002. Taipai, Taiwan
A Comparative Analysis of a DDR-SDRAM, a D-RDRAM and a DDR-FCRAM using a POPeye Simulator
Kangmin Lee, Chi-Weon Yoon, Ramchan-Woo, Jeonghoon Kook, Ja-Il Ku, and Hoi-Jun Yoo
IEEE International Symposium on Circuits and Systems (ISCAS), May 6~9. 2001. Sydney, Australia
Korea Conference on Semiconductors (KCS), Feb. 2001. Seoul
- Low-power System & Embedded Memory System Architecture
2006. 8 Ph.D. in EE, Korea Advanced Institute of Science and Technology
Thesis: Design and Implementation of Ultra Low-Power Controller SoC for Body Sensor Network
2003. 2 M.S. in EE, Korea Advanced Institute of Science and Technology
Thesis: Design and Implementation of High Performance Application Specific Memory
2001. 2 B.S. in EE, Korea Advanced Institute of Science and Technology
1996. 2 Daegu Science High School
A 0.7fJ/Bit/Search, 2.2ns Search Time, Hybrid-Type TCAM Architecture
Sungdae Choi, Kyomin Sohn and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuits (JSSC), Vol. 40, No.1, pp.254-260, Jan. 2005
A TCAM-based Periodic Event Generator for Multi-Node Management in the Body Sensor Network
Sungdae Choi, Kyomin Sohn, Jooyoung Kim, Jerald Yoo, and Hoi-Jun Yoo
IEEE Asian Solid-State Circuits Conference(A-SSCC), 2006
A Multi-Nodes Human Body Communication Sensor Network Control Processor
Sungdae Choi, Seong-Jun Song, Kyomin Sohn, Hyejung Kim, Jooyoung Kim, Namjun Cho, Jeong-Ho Woo, Jerald Yoo and Hoi-Jun Yoo
IEEE Custom Integrated Circuits Conference(CICC), 2006
Sungdae Choi, Kyomin Sohn, Min-Wuk Lee, Sunyoung Kim, Hye-Mi Choi, Donghyun Kim, Uk-Rae Cho, Hyun-Geun Byun, Yun-Seung Shin and Hoi-Jun Yoo
IEEE International Solid-State Circuits Conference (ISSCC), pp. 498-499, Feb. 2004
Design and Implementation of Read-Compare-Write circuits for low power Multi-Gigabit DRAM
Sungdae Choi, Yong-ha Park and Hoi-Jun Yoo
IEEE International Conference on Solid-State Devices and Materials (SSDM), pp.256-257, 2002
- Compiler infrastucture and optimization
- Program analysis and optimizations
- Design automation for embedded systems and reconfigurable computing
- Synthesis and optimizations for reconfigurable architectures
- Binary translation and dynamic optimizations
- Modeling and simulation for embedded systems
2008. 2 Ph.D. in EECS, Korea Advanced Institute of Science and Technology
2002. 2 M.S. in EECS, Korea Advanced Institute of Science and Technology
2000. 2 B.S. in EECS, Korea Advanced Institute of Science and Technology
Speculative Loop Pipelining for Hardware Acceleration
Sejong Oh, Tag Gon Kim, Jung Hoon Jho
IEEE Transactions on CAD(TCAD), March 2008
Memory Access Optimization of Dynamic Binary Translation for Reconfigurable Architectures
Sejong Oh, Tag Gon Kim
ACM/IEEE International Conference on Computer-Aided Design (ICCAD'05), November 2005
Quantitative Comparison of Two Retargetable Compilation Approaches
Sejong Oh, Yunheung Paek
International Conference on Parallel Processing(ICPP'03), October 2003
- Computer Architecture. 3D computer graphics. System on a chip
Thesis: A Low Power Programmable 3D Graphics Processor with Fixed-point SIMD Vertex Shader
Thesis: Design and Optimization of Geomety Acceleration for Portable 3D Graphics
Summa Cum Laude. Electrical engineering and computer science (Minor: Physics)
A 155-mW 50-Mvertices/s Graphics Processor With Fixed-Point Programmable Vertex Shader for Mobile Applications
Ju-Ho Sohn, Jeong-Ho Woo, Min-wuk Lee, Hyejung Kim, Ramchan Woo and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuits(JSSC), pp.1081-1091, May 2006
Design and Test of Fixed-point Multimedia Co-processor for Mobile Applications
Ju-Ho Sohn, Jeong-Ho Woo, Jerald Yoo and Hoi-Jun Yoo
Design, Automation and Test in Europe (DATE), pp.249-253, Mar. 2006
A Fixed-point Multimedia Co-processor with 50Mvertices/s Programmable SIMD Vertex Shader for Mobile Applications
European Solid-State Circuits Conference(ESSCIRC), pp.207-210, Sep. 2005
A 50Mvertices/s Graphics Processor with Fixed-point Programmable Vertex Shader for Mobile Applications
IEEE International Solid-State Circuits Conference (ISSCC), pp. 192-193, Feb. 2005
A Programmable Vertex Shader with Fixed-point SIMD Datapath for Low Power Wireless Applications
Ju-Ho Sohn, Ramchan woo and Hoi-Jun Yoo
SIGGRAPH/Eurographics Workshop on Graphics Hardware 2004, VOL.1. pp.107-114, 2004
Optimization of portable system architecture for real time 3D graphics
IEEE International Symposium on Circuits and Sstems (ISCAS), Vol. 1, pp. 769-772, 2002
- Low-Power 3D Graphics Processor (GPU)
- High-Speed Embedded Microprocessor
- Low Power Computer Arithmetic Unit
- Power Management Unit for Low-Power SoC
2007. 2 Ph.D. in EECS, Korea Advanced Institute of Science and Technology
Thesis: High-Performance Low-Power Small-Area 3D Graphics Processor based on
Logarithmic Arithmetic for Handheld Systems
2001. 2 M.S. in EECS, Korea Advanced Institute of Science and Technology
Thesis: Compiling Lazy Functional Programs to Java on the basis of Spineless Tagless
G-Machine with Eval-Apply Model
1999. 2 B.S. in Computer Engineering, Kyungpook National University
Summa Cum Laude
Unified Computer Arithmetic for Handheld GPUs
Byeong-Gyu Nam, Hyejung Kim, and Hoi-Jun Yoo
Circuits for Nanotechnology : Communications Imaging and Sensing, CRC press, 2008
An Embedded Stream Processor Core Based on Logarithmic Arithmetic for a Low-Power 3-D Graphics SoC
Byeong-Gyu Nam, and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuits(JSSC) Vol. 44, No.5, May 2009
Cost-effective low-power graphics processing unit for handheld devices
Byeong-Gyu Nam, Jeabin Lee, Kwanho Kim, Seungjin Lee, and Hoi-Jun Yoo
IEEE Communications Magazine (MCOM), Vol. 46, No.4, April 2008
Power nad Area-Efficient Unified Computation of Vector and Elementary Functions for Handheld 3D Graphics System
IEEE Transactions On Computers(TC), Vol. 57, No.4, April 2008
A Low-Power Unified Arithmetic Unit for Programmable Handheld 3-D Graphics Systems
IEEE Journal of Solid-State Circuits(JSSC), Vol. 42, No.8, August 2007
Development of a 3-D Graphics Rendering Engine with Lighting Acceleration for Handheld Multimedia Systems
Byeong-Gyu Nam, Min-wuk Lee, and Hoi-Jun Yoo
IEEE Transactions on Consumer Electronics, Vol. 51, No.3, August 2005
A 28.5mW 2.8GFLOPS floating-point multifunction unit for handheld 3D graphics processors
IEEE Asian Solid-State Circuits Conference(A-SSCC), 2007
A 52.4mW 3D Graphics Processor with 141Mvertices/s Vertex Shader and 3 Power Domains of Dynamic
Voltage and Frequency Scaling
Byeong-Gyu Nam, Jeabin Lee, Kwanho Kim, Seung Jin Lee, and Hoi-Jun Yoo
IEEE International Solid-State Circuits Conference(ISSCC), 2007
A Low-Power Handheld GPU using Logarithmic Arithmetic and Triple DVFS Power Domains
ACM SIGGRAPH conference on Graphics Hardware(GH), 2007
A Low-Power Vector Processor using Logarithmic Arithmetic for Handheld 3D Graphics Systems
IEEE European Solid-State Circuits Conference (ESSCIRC), 2007
A 210MHz, 15mW Unified Vector and Transcendental Function Unit for Handheld 3-D Graphics Systems
Byeong-Gyu Nam, Hyejung Kim and Hoi-Jun Yoo
- Synchronous SRAM Design(SPB, NtRAM, SP, DDR, QDR..)
- Memory-intensive SOC
- Low-power Embedded Memory System Architecture
2007. 2 Ph.D. in EE, Korea Advanced Institute of Science and Technology
Thesis: Design of Robust Memory with Embedded RISC
1996. 8 M.S. in Electrical Engineering, Yonsei University
1994. 2 B.S in Electrical Engineering, Yonsei University
An Autonomous SRAM With On-Chip Sensors in an 80-nm Double Stacked Cell Technology
Kyomin Sohn, Hyun-Sun Mo, Young-Ho Suh, Hyun-Geun Byun, and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuits (JSSC), Vol. 41, No.4, pp.823-829, Apr. 2006
Processor-Based Built-in Self-Optimizer for 90nm Diode-Switch PRAM
Kyomin Sohn, Hyejung Kim, Jerald Yoo, Jeong-Ho Woo, Seung-Jin Lee, Woo-Yeong Cho, Bo-Tak Lim, Byung-Gil Choi, Chang-Sik Kim, Choong-Keun Kwak, Chang-Hyun Kim and Hoi-Jun Yoo
IEEE Symposium on VLSI Cirsuits(SOVC), Jun. 14~16, 2007
A 0.6-V, 6.8-uW Embedded SRAM for Ultra-low Power SoC
Kyomin Sohn, Sungdae Choi, Jeong-Ho Woo, Jooyoung Kim, and Hoi-Jun Yoo
IEEE Asian Solid-State Circuits Conference(A-SSCC)2006
An Autonomous SRAM with On-Chip Sensors in an 80-nm Double Stacked Cell Technology
Kyomin Sohn, Namjun Cho, Hyejung Kim, Kwanho Kim, Hyun-Sun Mo, Young-Ho Suh, Hyun-Geun Byun and Hoi-Jun Yoo
Symposium on VLSI Circuits (SOVC), pp. 232-235, Jun. 2005
- Energy-efficient communication systems for body area and sensor networks
- Ultra low-power wearable/implantable biomedical microsystems
- RF CMOS integrated circuits for wireless applications
- High-speed interface circuits including PLL and CDR circuits
2007. 8 Ph.D. in EE, Korea Advanced Institute of Science and Technology
2004. 2 M.S. in EE, Korea Advanced Institute of Science and Technology
A 4Gb/s CMOS Clock and Data Recovery Circuit Using 1/8-Rate Clock Technique
Seong-Jun Song, Sung Min Park and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuits (JSSC),Vol. 38, No. 7, pp 1213-1219, July 2003
A 0.9V 2.6mW Body-Coupled Scalable PHY Transceiver for Body Sensor Applications
Seong-Jun Song, Namjun Cho, Sunyoung Kim, Jerald Yoo, Sungdae Choi and Hoi-Jun Yoo
International Solid-State Circuits Conference (ISSCC), pp. 366-367, Feb. 2007
Low Power Wearable Audio Player Using Human Body Communications
Seong-Jun Song, Seung Jin Lee, Namjun Cho and Hoi-Jun Yoo
International Symposium on Wearable Computers (ISWC), Oct. 2006
A 4.8-mW 10-Mb/s Wideband Signaling Receiver Analog Front-End for Human Body Communications
Seong-Jun Song, Namjun Cho, Sunyoung Kim and Hoi-Jun Yoo
European Solid-State Circuits Conference (ESSCIRC), pp. 488-491, Sep. 2006
A 2Mb/s Wideband Pulse Transceiver with Direct-Coupled Interface for Human Body Communications
International Solid-State Circuits C onference (ISSCC), pp. 558-559, Feb. 2006
A 4-Gb/s Clock and Data Recovery Circuit Using Four-Phase 1/8-Rate Clock
Seong-Jun Song, Jaeseo Lee, Sung Min Park and Hoi-Jun Yoo
European Solid State Circuits Conference (ESSCIRC), pp. 239-242, Sept. 2002
- Low Power Programmable 3D Graphics Processor Design
- Low Power Multimedia SoC Design
- Embedded Memory System Design
2008. 8 Ph.D. in EE, Korea Advanced Institute of Science and Technology
Thesis: Design and Implementation of Fully Programmable Portable 3D Graphics Processor
with Mobile Unified Shader
2004. 8 M.S. in EE, Korea Advanced Institute of Science and Technology
2002. 2 B.S. in EE, Korea Advanced Institute of Science and Technology
1998. 2 Daegu Science High School ( 9th )
A 152-mW Mobile Multimedia SoC With Fully Programmable 3-D Graphics and MPEG4/H.264/JPEG
Jeong-Ho Woo, Ju-Ho Shon, Hyejung Kim, and Hoi-Jun Yoo
IEEE Transactions on Very Large Scale Integration Systems (TVLSI), Vol.17, No.9, pp.1260-1266, Sep. 2009
A Low-Power Multimedia SoC with Fully Programmable 3D Graphics for Mobile Devices
IEEE Computer Graphics and Applications (CG&A), Vol.29, No.5, pp.82-90, Sep.-Oct. 2009
A 195 mW, 9.1 MVertices/s Fully Programmable 3-D Graphics Processor for Low-Power Mobile Devices
Jeong-Ho Woo, Ju-Ho Sohn, Hyejung Kim, and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuits(JSSC), pp.2370-2380, Nov 2008
A 195 mW/152 mW Mobile Multimedia SoC With Fully Programmable 3-D Graphics and MPEG4/H.264/JPEG
IEEE Journal of Solid-State Circuits(JSSC), pp.2047-2056, Sep 2008
A 195mW, 9.1MVertices/s Fully Programmable 3D Graphics Processor for Low Power Mobile Devices
Jeong-Ho Woo, Ju-Ho Sohn, Hyejung Kim, Jongcheol Jeong, Euljoo Jeong, Suk Joong Lee and Hoi-Jun Yoo
IEEE Asian Solid-State Circuits Conference(A-SSCC), pp.372-375, Nov. 2007
A Low Power Multimedia SoC with Fully Programmable 3D Graphics and MPEG4/H.264/JPEG for Mobile Devices
IEEE Symposium on Low Power Electronics and Design (ISLPED), Aug. 27~29, 2007
A 152mW Mobile Multimedia SoC with Fully Programmable 3D Graphics and MPEG4/H.264/JPEG
IEEE Symposium on VLSI Circuits (SOVC), Jun. 14~16, 2007
A 152mW/195mW Multimedia Processor with Fully Programmable 3D Graphics and MPEG/H.264/JPEG for Handheld Devices
IEEE DAC/ISSCC Student Design Contest, 2007
A 1.2Mpixels/s/mW 3-D Rendering Processor For Portable Multimedia Application
Jeong-Ho Woo, Min-wuk Lee, Hyejung Kim, Ju-Ho Sohn and Hoi-Jun Yoo
IEEE Asian Solid-State Circuits Conference(A-SSCC), 2005
A 17mW, 20Mpixels/s 3-D Rendering Processor For Portable Multimedia Application
International SoC Design Conference(ISOCC), pp.612-612, Oct. 2005
- Biomedical Microsystems Design
- Mixed-Signal System Design
Thesis: An Adaptive Digital Hearing Aid Chip with on Chip Human Factors Consideration
2005. 8 M.S. in EE, Korea Advanced Institute of Science and Technology
2002. 8 B.S. Yonsei University
1998. 2 Daegu SongHyun Girl's High School (16th)
A Fully Integrated Digital Hearing Aid Chip With Human Factors Considerations
Sunyoung Kim, Seung Jin Lee, Namjun Cho, Seong-Jun Song, and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuits (JSSC),Vol. 43, No. 1, pp 266-274, January 2008
A 0.9 V 96uW Fully Operational Digital Hearing Aid Chip
Sunyoung Kim, Namjun Cho, Seong-Jun Song, and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuits (JSSC),Vol. 42, No.11, pp 2432-2440,Nov. 2007
An Energy-Efficient Analog Front-End Circuit for a Sub-1-V Digital Hearing Aid Chip
Sunyoung Kim, Jae-Youl Lee, Seong-Jun Song, Namjun Cho, and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuits (JSSC),Vol. 41, No. 4, pp 876-882, April 2006
Autonomous Gain Verification Algorithm for a Dual Mode Digital Hearing Aid Chip
Sunyoung Kim, Long Yan, Minsu Kim, Joonsung Bae and Hoi-Jun Yoo
Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), Aug. 20~24, 2008
A Real-Time Feedback Controlled Hearing Aid Chip with Reference Ear Model
Sunyoung Kim, Seung Jin Lee, Namjun Cho, Seong-Jun Song and Hoi-Jun Yoo
IEEE Custum Integrated Circuits Conference (CICC), Sep 16~19, 2007
The Reference Ear Modeling Method for Internally Feedback Controlled Digital Hearing Aid Chip
Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), Aug. 23~26, 2007
Dual Threshold Preamplifier and Multi-Channel DSP for Human Factored Digital Hearing Aid Chip
A Fully Integrated Digital Hearing-Aid Chip with Human-Factors Considerations
International Solid-State Circuits Conference (ISSCC), pp. 152-153, Feb. 2007
Clearphone : A 0.9 V 96 μW Digital Hearing Aid System
Sunyoung Kim, Namjun Cho, Seong-Jun Song, Donghyun Kim, Kwanho Kim and Hoi-Jun Yoo
IEEE Biomedical Circuits and Systems Conference (BIOCAS) 2006, p182-185, Nov. 2006
A Sub 1V 96 uW Fully Operational Digital Hearing Aid Chip With Internal Status Controller
European Solid-State Circuits Conference (ESSCIRC), pp.231-234, Sep 2006
A 0.9-V 96-μW Digital hearing Aid Chip with Heterogeneous Σ-Δ DAC
IEEE Symposium on VLSI Circuits (SOVC), Jun. 13~15, 2006
An Energy-Efficient Analog Front-End Circuit for a Sub-1V Digital Hearing Aid Chip
Sunyoung Kim, Jae-Youl Lee, Seong-Jun Song, Namjun Cho and Hoi-Jun Yoo
IEEE Symposium on VLSI Circuits (SOVC), Jun. 13~17, 2005
A 0.9-V 67-μW Analog Front-End using Adaptive-SNR Technique for Digital Hearing Aid
IEEE International Symposium on Circuits and Systems (ISCAS), May 23~26, 2005
- Network-on-Chip Implementation and Optimization
2009. 2 M.S , Ph.D. in EE, Korea Advanced Institute of Science and Technology
Thesis: Design and Implementation of Network-on-Chip Based Multi-Core Processor for Object Recognition
2003. 2 B.S. in EE, Korea Advanced Institute of Science and Technology
1999. 2 Kyonggi Science High School
Memory-centric network-on-chip for power efficient execution of task-level pipeline on a multi-core processor
Donghyun Kim, Kwanho Kim, Joo-Young Kim, Seungjin Lee, Se-Joong Lee, and Hoi-Jun Yoo
IET Computers & Digital Techniques (IET DCT), Vol.3, No.5, Sep. 2009
81.6 GOPS Object Recognition Processor Based on a Memory-Centric NoC
IEEE Transactions on Very Large Scale Integration Systems (TVLSI), Vol.17, No.3, Mar. 2009
Implementation of Memory-Centric NoC for 81.6 GOPS Object Recognitiion Processor Donghyun Kim, Kwanho Kim, Joo-Young Kim, Seungjin Lee, and Hoi-Jun Yoo
IEEE Asian Solid-State Circuits Conference(A-SSCC), pp.47-50, Nov. 2007
An 81.6 GOPS Object Recognition Processor Based on NoC and Visual Image Processing Memory
Donghyun Kim, Kwanho Kim, Joo-Young Kim, Seungjin Lee, and Hoi-Jun Yoo
Solutions for Real Chip Implementation Issues of NoC and Their Application to Memory-Centric NoC
IEEE International Symposium on Networks-on-Chips (NOCS), May 7, 2007
A Reconfigurable Crossbar Switch with Adaptive Bandwidth Control for Networks-on-Chip
Donghyun Kim, Se-Joong Lee, Kangmin Lee, and Hoi-Jun Yoo
IEEE International Symposium on Circuits and Systems (ISCAS), May 25, 2005
- Low-power Mixed-mode IC Design
2009. 8 Ph.D. in EE, Korea Advanced Institute of Science and Technology
Thesis: Energy-efficient Body-channel Communication/MICS Dual-band Transceiver for Unified Body Sensor Network
2006. 2 M.S. in EE, Korea Advanced Institute of Science and Technology
2004. 2 B.S. in EE, Korea Advanced Institute of Science and Technology
1999. 2 Hansung Science High School
A 10.8 mW Body Channel Communication/MICS Dual-Band Transceiver for a Unified Body Sensor Network Controller
Namjun Cho, Joonsung Bae, and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuits (JSSC), Vol.44, No.12 pp.3459-3468, Dec. 2009
A Planar MICS Band Antenna Combined With a Body Channel Communication Electrode for Body Sensor Network
Namjun Cho, Taehwan Roh, Joonsung Bae, and Hoi-Jun Yoo
IEEE Transactions on Microwave Theory and Techniques (TMTT), Vol.57, No.10, pp.2515-2522, Oct. 2009
A 60 kb/s-10 Mb/s Adaptive Frequency Hopping Transceiver for Interference-Resilient Body Channel Communication
Namjun Cho, Long Yan, Joonsung Bae, and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuits (JSSC), Vol.44, No.3 pp.708-717, Mar. 2009
The Human Body Characteristics as a Signal Transmission Medium for Intrabody Communication
Namjun Cho, Jerald Yoo, Seong-Jun Song, Jeabin Lee, Seonghyun Jeon, and Hoi-Jun Yoo
IEEE Transactions on Microwave Theory and Techniques (TMTT), Vol.55, No.5, pp.1080-1086, May 2007
A 10.8mW Body-Channel-Communication/MICS Dual-Band Transceiver for a Unified Body-Sensor-Network Controller Namjun Cho, Joonsung Bae, and Hoi-Jun Yoo
IEEE International Solid-State Circuits Conference (ISSCC), 2009
An Interference-Resilient Body Channel Transceiver for Wearable Body Sensor Network Namjun Cho, Joonsung Bae, and Hoi-Jun Yoo
IEEE International Conference on Biomedical Circuits and Systems(BioCAS) , 2008
A 60kb/s-to-10Mb/s, 0.37nJ/b Adaptive-Frequency-Hopping Transceiver for Body-Area Network Namjun Cho, Jeabin Lee, Long Yan, Jonnsung Bae, Sunyoung Kim, and Hoi-Jun Yoo
IEEE International Solid-State Circuits Conference (ISSCC), 2008
A 5.1-uW, UHF RFID Tag chip integrated with sensor for wireless environmental monitoring
Namjun Cho, Seong-Jun Song, Sunyoung Kim, Shiho Kim, and Hoi-jun Yoo
IEEE European Solid State Circuits Conference (ESSCIRC), Sep. 2005, Grenoble, France
A 8-uW, 0.3-mm2 RF-powered transponder with temperature sensor for wireless environmental
monitoring
Namjun Cho, Seong-Jun Song, Jae-Youl Lee, Sunyoung Kim, Shiho Kim, and Hoi-Jun Yoo
IEEE International Symposium on Circuits and Systems (ISCAS), May 25, 2005, Kobe, Japan
- Domesitc Papers
Body Channel Communication SoC for Low Power Wearable Networking Namjun Cho, Junsun Park and Hoi-Jun Yoo
THE JOURNAL OF KOREAN INSTITUTE OF NEXT GENERATION Computing, 2007
- Network-on-Chip based SoC Design
Thesis: Energy-Efficient Real-Time Object Recognition Processor with Visual Attention Engine
2000. 2 Hansung Science High School
A Configurable Heterogeneous Multicore Architecture with Cellular Neural Network for Real-Time Object Recognition Kwanho Kim, Seungjin Lee, Joo-Young Kim, Minsu Kim, and Hoi-Jun Yoo
IEEE Transactions on Circuits and Systems for Video Technology (TCSVT),Vol.19, No.11, pp.1612-1622, Nov. 2009
A 125 GOPS 583 mW Network-on-Chip Based Parallel Processor With Bio-Inspired Visual Attention Engine Kwanho Kim, Seungjin Lee, Joo-Young Kim, Minsu Kim, and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuits (JSSC), Vol.44, No.1, pp.136-147, Jan. 2009
A 76.8 GB/s 46 mW Low-latency Network-on-Chip for Real-time Object Recognition Processor Kwanho Kim, Joo-Young Kim, Seungjin Lee, Minsu Kim, and Hoi-Jun Yoo
IEEE Asian Solid-State Circuits Conference (ASSCC), pp.189-192, Nov. 2008
A 211 GOPS/W Dual-Mode Real-Time Object Recognition Processor with Network-on-Chip Kwanho Kim, Joo-Young Kim, Seungjin Lee, Minsu Kim, and Hoi-Jun Yoo
IEEE European Solid-State Circuits Conference (ESSCIRC), 2008
A 125GOPS 583mW Network-on-Chip Based Parallel Processor with Bio-inspired Visual Attention Engine Kwanho Kim, Seungjin Lee, Joo-Young Kim, Minsu Kim, Donghyun Kim, Jeong-Ho Woo, and Hoi-Jun Yoo
An Arbitration Look-Ahead Scheme for Reducing End-to-End Latency in Networks-on-Chip
Kwanho Kim, Se-Joong Lee, Kangmin Lee, and Hoi-Jun Yoo
- Low Power Processor Design for Body Sensor Network System and Wearable Computer System
- Low Power Arithmetic Unit Design
- 3D Graphic Library for Mobile System
Thesis: Low Energy Biomedical Signal Processor for Wearable Continuous ECG Monitoring System
2006. 8 M.S. in EE, Korea Advanced Institute of Science and Technology
2000. 2 Seoul Science High School
ECG Signal Compression and Classification Algorithm With Quad Level Vector for ECG Holter System
Hyejung Kim, Refet Firat Yazicioglu, Patrick Merken, Chris Van Hoof, and Hoi-Jun Yoo
IEEE Transactions on Information Technology in Biomedicine (TITB), Vol.14, No.1, pp.93-100, Jan. 2010
A 231MHz, 2.18mW 32-bit Logarithmic Arithmetic Unit for Fixed-Point 3D Graphics System
Hyejung Kim, Byeong-Gyu Nam, Ju-ho Sohn, Jeong-Ho Woo and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuits (JSSC), Vol.41, No.11, pp.2373-2381, Nov. 2006
An Integrated Circuit for Wireless Ambulatory Arrhythmia Monitoring Systems Hyejung Kim, Yazicioglu, Refet Firat, Torfs,Tom, Merken, Patirck, Van Hoof, Chris, and Hoi-Jun Yoo
IEEE Engineering in Medicine and Biology Society (EMBC), 2009
A Wearable Fabric Computer by Planar-Fashionable Circuit Board Technique Hyejung Kim, Yongsang Kim, Binhee Kim, and Hoi-Jun Yoo
6th International Workshop on Wearable and Implantable Body Sensor Networks (BSN), 2009
A Low Energy Bio Sensor Node Processor for Continuous Healthcare Monitoring System Hyejung Kim, Youngsang Kim, and Hoi-Jun Yoo
IEEE Asian Solid-State Circuits Conference(ASSCC), pp.317-320, Nov. 2008
A Low Cost Quadratic Level ECG Compression Algorithm and Its Hardware Optimization for Body Sensor Network System Hyejung Kim, Youngsang Kim, and Hoi-Jun Yoo
IEEE Engineering in Medicine and Biology Society (EMBC), 2008
A 1.12mW Continuous Healthcare Monitor Chip Integrated on A Planar-Fashionable Circuit Board Hyejung Kim, Youngsang Kim, Young-se Kwon, and Hoi-Jun Yoo
An Embedded 8-bit RISC Controller for Yield Enhancement of the 90-nm PRAM Hyejung Kim, Kyomin Sohn, Jerald Yoo and Hoi-Jun Yoo
IEEE Custum Integrated Circuits Conference (CICC), 2007
A Low Power Compression Processor for Body Sensor Network System
Hyejung Kim, Sungdae Choi and Hoi-Jun Yoo
4th International Workshop on Wearable and Implantable Body Sensor Networks (BSN), 2007
A Low Power 16-bit RISC with Lossless Compression Accelerator for Body Sensor Network System
IEEE Asian Solid-State Circuits Conference (A-SSCC), 2006
Hyejung Kim, Byeong-Gyu Nam, Ju-ho Sohn, and Hoi-Jun Yoo
IEEE Asian Solid-State Circuits Conference (A-SSCC), 2005
A Low Power Sensor Node Controller for Human Body Monitoring
Hyejung Kim, Sungdae Choi, Jerald Yoo, Seulki Lee, Yong-Sang Kim and Hoi-Jun Yoo
THE JOURNAL OF KOREAN INSTITUTE OF NEXT GENERATION Computing, 2006
http://www.jeraldyoo.com
- Wearable Healthcare SoC
- Low Energy Fabric Area Network for BAN
- Wireless Power Transmission
2010. 2 Ph.D. in EE, Korea Advanced Institute of Science and Technology
2007. 2 M.S. in EE, Korea Advanced Institute of Science and Technology
A 5.2mW Self-Configured Wearable Body Sensor Network Controller and a 12μW 54.9% Efficiency Wirelessly Powered Sensor for Continuous Health Monitoring System
Jerald Yoo, Long Yan, Seulki Lee , Yongsang Kim, Hyejung Kim, Binhee Kim, and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuits (JSSC), Vol.45, No.1, pp.178-188, Jan. 2010
A 1.12 pJ/b Inductive Transceiver With a Fault-Tolerant Network Switch for Multi-Layer Wearable Body Area Network Applications
Jerald Yoo, Seulki Lee, and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuits (JSSC), Vol.44, No.11, pp.2999-3010, Nov. 2009
A Wearable ECG Acquisition System With Compact Planar-Fashionable Circuit Board-Based Shirt
Jerald Yoo, Long Yan, Seulki Lee, Hyejung Kim, and Hoi-Jun Yoo
IEEE Transactions on Information Technology in Biomedicine (TITB), Vol.13, No.6, pp.897-902, Nov. 2009
An Attachable ECG Sensor Bandage with Planar-Fashionable Circuit Board
Jerald Yoo, Long Yan, Seulki Lee, Hyejung Kim, Binhee Kim, and Hoi-Jun Yoo
IEEE International Symposium on Wearable Computers (ISWC), 2009
A 1.12pJ/b Resonance Compensated Inductive Transceiver with a Fault-Tolerant Network Controller for Wearable Body Sensor Networks
IEEE Asian Solid-State Circuits Conference (ASSCC), pp.313-316, Nov. 2008
Analysis of Body Sensor Network Using Human Body as the Channel
Jerald Yoo, Namjun Cho, and Hoi-Jun Yoo
ACM 3rd International Conference on Body Area Sensor Network (BodyNets), Mar. 2008
Student Forum Presentation
Jerald Yoo, Seulki Lee and Hoi-Jun Yoo
IEEE International Solid-State Circuits Conference (Student Forum), Feb. 2008
A 10-uW Digital Signal Processor with Adaptive-SNR Monitoring for a Sub-1V Hearing Aid
Jerald Yoo, Sunyoung Kim, Namjun Cho, Seong-Jun Song, and Hoi-Jun Yoo
IEEE International Symposium on Circuits and Systems(ISCAS), pp.3361-3364, May 2006
https://castlab.kaist.ac.kr
http://research.microsoft.com/en-us/people/jooyoung
- Computer Vision Algorithms
- Multi-core Computer Architecture and Parallel Programming
- Low-power Silicon-on-a-Chip Design
2005. 2 B.S. in EE, Korea Advanced Institute of Science and Technology
2001. 2 Kyonggi Science High School
A 118.4 GB/s Multi-Casting Network-on-Chip With Hierarchical Star-Ring Combined Topology for Real-Time Object Recognition
Joo-Young Kim, Junyoung Park, Seungjin Lee, Minsu Kim, Jinwook Oh, and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuits, Vol.45, No.7, Jul. 2010
An attention controlled multi-core architecture for energy efficient object recognition
Joo-Young Kim, Sejong Oh, Seungjin Lee, Minsu Kim, Jinwook Oh, and Hoi-Jun Yoo
Signal Processing : Image Communication, Vol.25, No.5, Jun. 2010
Visual Image Processing RAM: Memory Architecture with 2-D Data Location Search and Data Consistency Management for a Multi-Core Object Recognition Processor
Joo-Young Kim, Donghyun Kim, Kwanho Kim, Seungjin Lee, and Hoi-Jun Yoo
IEEE Transactions on Circuits and Systems for Video Technology, Vol.20, No.4, Apr. 2010
A 201.4 GOPS 496 mW Real-Time Multi-Object Recognition Processor With Bio-Inspired Neural Perception Engine
Joo-Young Kim, Minsu Kim, Seungjin Lee , Jinwook Oh, Kwanho Kim, and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuits, Vol.45, No.1, Jan. 2010
Real-Time Object Recognition with Neuro-Fuzzy Controlled Workload-aware Task Pipelining
Joo-Young Kim, Minsu Kim, Seungjin Lee, Jinwook Oh, Sejong Oh, and Hoi-Jun Yoo
IEEE Micro, Vol.29, No.6, Nov. 2009
A Real-Time Embedded Vision System with 201.4GOPS 496mW Object Recognition Processor
Joo-Young Kim, Seungjin Lee, Minsu Kim, Jinwook Oh, Hoi-Jun Yoo
Design Automation Conference (DAC), 2010
A 118.4GB/s Multi-Casting Network-on-Chip for Real-Time Object Recognition Processor
Joo-Young Kim, Kwanho Kim, Minsu Kim, Seungjin Lee, Jinwook Oh, and Hoi-Jun Yoo
IEEE European Solid-State Circuits Conference(ESSCIRC), 2009
A 60fps 496mW Multi-Object Recognition Processor with Workload-Aware Dynamic Power Management
Joo-Young Kim, Seungjin Lee, Jinwook Oh, Minsu Kim, and Hoi-Jun Yoo
IEEE International Symposium on Low Power Electronics and Design(ISLPED), 2009
An Energy Efficient Real-Time Object Recognition Processor with Neuro-Fuzzy Controlled Workload-aware Task Pipelining
Joo-Young Kim, Minsu Kim, Seungjin Lee, Jinwook Oh, Kwanho Kim, Jeong-Ho Woo, and Hoi-Jun Yoo
IEEE International Iow power and high speed chips symposium(CoolChips), 2009
A 201.4GOPS 496mW Real-Time Multi-Object Recognition Processor with Bio-Inspired Neural Perception Engine
Joo-Young Kim, Minsu Kim, Seungjin Lee, Jinwook Oh, Kwanho Kim, Sejong Oh, Jeong-Ho Woo, Donghyun Kim, and Hoi-Jun Yoo
A 66fps 38mW Nearest Neighbor Matching Processor with Hierarchical VQ Algorithm for Real-Time Object Recognition
Joo-Young Kim, Kwanho Kim, Seunjin Lee, Minsu Kim, and Hoi-Jun Yoo
IEEE Asian Solid-State Circuits Conference(ASSCC), pp.177-180, Nov. 2008
Bitwise Competition Logic for Compact Digital Comparator Joo-Young Kim, and Hoi-Jun Yoo
IEEE Asian Solid-State Circuits Conference(ASSCC), pp.59-62, Nov. 2007
Visual Image Processing RAM for Fast 2-D Location Search
Joo-Young Kim, Donghyun Kim, Seung-Jin Lee, Kwanho Kim, Sunghyun Jeon and Hoi-Jun Yoo
European Solid-State Circuits Conference (ESSCIRC), Sep. 11~13, 2007
A 372ps 64-bit Adder using Fast Pull-up Logic in 0.18-um CMOS
Joo-Young Kim, Kangmin Lee and Hoi-Jun Yoo
IEEE International Symposium on Circuits and Systems(ISCAS), pp.13-16, May 2006
- Network-on-Chip Based Soc Design
- Low Power DSP
2011. 8 Ph.D. in EE, Korea Advanced Institute of Science and Technology
2008. 2 M.S. in EE, Korea Advanced Institute of Science and Technology
2006. 2 B.S. in EE, Korea Advanced Institute of Science and Technology
2002. 2 Myungduk Foreign Language High School
24-GOPS 4.5-mm2 Digital Cellular Neural Network for Rapid Visual Attention in an Object-Recognition SoC
Seungjin Lee, Minsu Kim, Kwanho Kim, Joo-Young Kim, and Hoi-Jun Yoo
IEEE Transactions on Neural Network (TNN), Vol.22, No.1, pp.42-51, Jan. 2011
A 345 mW Heterogeneous Many-Core Processor With an Intelligent Inference Engine for Robust Object Recognition
Seungjin Lee, Jinwook Oh, Junyoung Park, Joonsoo Kwon, Minsu Kim, and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuits (JSSC), Vol.46, No.1, pp.42-51, Jan. 2011
Familiarity based unified visual attention model for fast and robust object recognition
Seungjin Lee, Kwanho Kim, Joo-Young Kim, Minsu Kim, and Hoi-Jun Yoo
Pattern Recognition (PR), Vol.43, No.3, pp.1116-1128, Mar. 2010
A 92mW 76.8GOPS Vector Matching Processor with Parallel Huffman Decoder and Query Re-ordering Buffer for Real-time Object Recognition
Seungjin Lee, Joonsoo Kwon, Jinwook Oh, Junyoung Park, and Hoi-Jun Yoo
IEEE Asian Solid-State Circuits Conference (A-SSCC), Nov. 2010
Intelligent NoC with Neuro-Fuzzy Bandwidth Regulation for a 51 IP Object Recognition Processor
Seungjin Lee, Jinwook Oh, Minsu Kim, Junyoung Park, Joonsoo Kwon, Joo-Young Kim, and Hoi-Jun Yoo
IEEE Custom Integrated Circuits Conference (CICC), Sep. 2010
A 36 Heterogeneous Core Architecture with Resource-Aware Fine-grained Task Scheduling for Feedback Attention based Object Recognition
Seungjin Lee, Jinwook Oh, Minsu Kim, Joonyoung Park, Joonsoo Kwon, Joo-Young Kim, and Hoi-Jun Yoo
IEEE Symposium on Low-Power and High-Speed Chips(COOL Chips), Apr. 2010
A 345mW Heterogeneous Many-Core Processor with an Intelligent Inference Engine for Robust Object Recognition
Seungjin Lee, Jinwook Oh, Minsu Kim, Junyoung Park, Joonsoo Kwon, and Hoi-Jun Yoo
IEEE International Solid-State Circuits Conference(ISSCC), Feb. 2010
The Brain Mimicking Visual Attention Engine: An 80x60 Digital Cellular Neural Network for Rapid Global Feature Extraction
Seungjin Lee, Kwanho Kim, Minsu Kim, Joo-Young Kim, and Hoi-Jun Yoo
IEEE Symposium on VLSI Circuits (SOVC), Jun. 2008
A Low Power Digital Signal Processor with Adaptive Band Activation for Digital Hearing Aid Chip
Seungjin Lee, Sunyoung Kim, and Hoi-Jun Yoo
IEEE International Symposium on Circuits and Systems(ISCAS), May 2007
- Next generation biomedical systems
- Mixed-mode circuits for wearable and implantable applications
- Sensors and transceivers for IoT
2009. 2 M.S. in EE, Korea Advanced Institute of Science and Technology
2007. 2 B.S. in EE, Korea Advanced Institute of Science and Technology
2002. 2 University of International Business and Economics in China
1998. 9 YanBian No.1 High School in China
A 3.9mW 25-Electrode Reconfigured Sensor for Wearable Cardiac Monitoring System
Long Yan, Joonsung Bae, Seulki Lee, Taehwan Roh, Kiseok Song, and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuits (JSSC), Vol.46, No.1, pp.353-364, Jan. 2011
A Low-Power Portable ECG Touch Sensor with Two Dry Metal Contact Electrodes
Long Yan, and Hoi-Jun Yoo
Journal of Semiconductor Technology and Science (JSTS), Vol.10, No.4, pp.300-308, Dec. 2010
A 0.5-μVrms 12-μW Wirelessly-Powered Patch Type Healthcare Sensor for Wearable Body Sensor Network
Long Yan, Jerald Yoo, Binhee Kim, and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuits (JSSC), Vol.45, No.11, pp.2356-2365, Nov. 2010
A 1Mb/s, -75dBm Sensitive Fully Integrated Body Channel Transceiver for a Low Energy CompactWearable Healthcare Sensor
Long Yan, Joonsung Bae, and Hoi-Jun Yoo
A Smart Poultice with Reconfigurable Sensor Array for Wearable Cardiac Healthcare
International Conference on Pervasive Computing Technologies for Healthcare (Pervasive Health), Mar. 2010
A 3.9mW 25-Electrode Reconfigured Thoracic Impedance/ECG SoC with Body-Channel Transponder
Long Yan, Joonsung Bae, Seulki Lee, Binhee Kim, Taehwan Roh, Kiseok Song, and Hoi-Jun Yoo
IEEE International Solid-State Circuits Conference (ISSCC), Feb. 2010
A 0.5μVrms 12μW Patch Type Fabric Sensor for Wearable Body Sensor Network
IEEE Asian Solid-State Circuits Conference (A-SSCC), Nov. 2009
A Two-Electrode 2.88nJ/Conversion Biopotential Acquisition System for Portable Healthcare Device
Long Yan , Namjun Cho, Jerald Yoo, Binhee Kim, and Hoi-Jun Yoo
IEEE Asian Solid-State Circuits Conference (A-SSCC), pp.329-332, Nov. 2008
- Wearable Body Sensor Network
- Inductive Coupling Transceiver Design
- Planar-Fashionable Circuit Board
2012. 2 Ph.D. in EE, Korea Advanced Institute of Science and Technology
2004. 2 Seoul Science High School
A 75μW Real-Time Scalable Body Area Network Controller and a 25μW ExG Sensor IC for Compact Sleep Monitoring Applications
Seulki Lee, Long Yan, Taehwan Roh, Sunjoo Hong, and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuits (JSSC), Vol.47, No.1, pp.323-334, Jan. 2012
A Low-Energy Inductive Coupling Transceiver With Cm-Range 50-Mbps Data Communication in Mobile Device Applications
Seulki Lee, Kiseok Song, Jerald Yoo, and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuits (JSSC), Vol.45, No.11, pp.2366-2374, Nov. 2010
A 4.78μs Dynamic Compensated Inductive Coupling Transceiver for Ubiquitous and Wearable Body Sensor Network
Seulki Lee, Jerald Yoo, and Hoi-Jun Yoo
IEICE Transactions on Communications, Vol.E93-B, No.11, pp.2892-2900, Nov. 2010
Planar Fashionable Circuit Board Technology and Its Applications
Seulki Lee, Binhee Kim, and Hoi-Jun Yoo
Journal of Semiconductor Technology and Science (JSTS), Vol.9, No.3, pp.174-180, Sep. 2009
A 200-Mbps 0.02-nJ/b Dual-Mode Inductive Coupling Transceiver for cm-Range Multimedia Application
IEEE Transactions on Circuits and Systems-I: Regular Papers(TCAS-1), Vol.56, No.5, pp.1063-1072, May 2009
A 2.1μW Real-Time Reconfigurable Wearable Ban Controller with Dual Linked List Structure
Seulki Lee, and Hoi-Jun Yoo
IEEE International Symposium on Circuits and Systems(ISCAS), May. 2012
A 3-Channel 150μW Electrooculography (EOG) Monitoring System for Wearable Human Computer Interface (HCI)
Seulki Lee, Sunjoo Hong, Changmin Joo, and Hoi-Jun Yoo
European Solid-State Circuits Conference (Fringe Poster), Sep. 2011
The Smart Patches and Wearable Band (W-Band) for Comfortable Sleep Monitoring System
Seulki Lee, Long Yan, Taehwan Roh, Sunjoo Hong and Hoi-Jun Yoo
European Molecular Biology Conference (EMBC), Sep. 2011
A 75μW Real-Time Scalable Network Controller and a 25μW ExG Sensor IC for Compact Sleep-Monitoring Applications
International Solid-State Circuits Conference (ISSCC), Feb. 2011
Wearable Sensor Nodes Design for Home Healthcare System
International Conference on Ubiquitous Healthcare (uHealthcare), Oct. 2010
Arm-Band type Textile-MP3 Player with Multi-layer Planar Fashionable Circuit Board (P-FCB) Techniques
Seulki Lee, Binhee Kim, Taehwan Roh, Sunjoo Hong, and Hoi-Jun Yoo
IEEE International Symposium on Wearable Computers (ISWC), Oct. 2010
Live Demonstration: A Real-time Compensated Inductive Transceiver for Wearable MP3 Player System on Multi-layered Planar Fashionable Circuit Board
Seulki Lee, Seungwook Paek, and Hoi-Jun Yoo
IEEE International Symposium on Circuit and Systems (ISCAS), Jun. 2010
A 1.3pJ/b Inductive Coupling Transceiver with Adaptive Gain Control for Cm-range 50Mbps Data Communication
Seulki Lee, Jerald Yoo, Kiseok Song, and Hoi-Jun Yoo
A Dynamic Real-time Capacitor Compensated Inductive Coupling Transceiver for Wearable Body Sensor Network
Seulki Lee, Jerald Yoo, Hyejung Kim, and Hoi-Jun Yoo
IEEE Symposium on VLSI Circuits (SOVC), Jun. 2009
A Wearable Inductor Channel Design for Blood Pressure Monitoring System in Daily Life
International Conference on Pervasive Computing Technologies for Healthcare (Pervasive Health), Apr. 2009
A Healthcare Monitoring System with Wireless Woven Inductor Channels for Body Sensor Network
International Workshop on Wearable and Implantable Body Sensor Networks(BSN), Jun. 2008
A 200Mbps 0.02nJ/b dual-mode inductive coupling transceiver for cm-range interconnection
IEEE International Symposium on Circuit and Systems(ISCAS), May 2008
A wearable computing network using conductive yarn
Seulki Lee, Jerald Yoo, Yongsang Kim, Hyejung Kim, and Hoi-Jun Yoo
THE JOURNAL OF KOREAN INSTITUTE OF NEXT GENERATION COMPUTING, Mar. 2008
- High Speed Serial Interface PHY
- Short Range Wireless Connectivity
- WBAN Circuits and Systems
- Biomedical Circuits and Systems
2013. 2 Ph.D. in EE, Korea Advanced Institute of Science and Technology
2003. 2 Kyonggi Science High School
A 45uW Injection-Locked FSK Wake-Up Receiver With Frequency-to-Envelope Conversion for Crystal-Less Wireless Body Area Network
Joonsung Bae, and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuits (JSSC), Apr, 2015
The Effects of Electrode Configuration on Body Channel Communication Based on Analysis of Vertical and Horizontal Electric Dipoles
IEEE Transaction on Microwave Theory and Techniques (TMTT), Apr. 2015
A Low Energy Crystal-Less Double-FSK Sensor Node Transceiver for Wireless Body-Area-Network
Joonsung Bae, Kiseok Song, Hyungwoo Lee, Hyunwoo Cho, and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuits (JSSC), Nov. 2012
A Signal Transmission Mechanism on the Surface of Human Body for Body Channel Communication
Joonsung Bae, Hyunwoo Cho, Kiseok Song, Hyungwoo Lee, and Hoi-Jun Yoo
IEEE Transaction on Microwave Theory and Techniques (TMTT), Mar. 2012
A 0.24nJ/b Wireless Body-Area-Network Transceiver with Scalabe Double-FSK Modulation
IEEE Journal of Solid-State Circuits (JSSC), Jan. 2012
A Low Energy Injection-Locked FSK Transceiver With Frequency-to-Amplitude Conversion for Body Sensor Applicatoins
Joonsung Bae, Long Yan, and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuits (JSSC), Apr. 2011
A 45uW Injection-Locked FSK Wake-Up Receiver for Crystal-Less Wireless Body-Area-Network
IEEE Asian Solid-State Circuit Conference (A-SSCC), Nov. 2012
An Energy-Efficient Body Channel Communication based on Maxwell’s Equations Analysis of On-Body Transmission Mechanism
Joonsung Bae, Kiseok Song, Hyunwoo Cho, Hyungwoo Lee, and Hoi-Jun Yoo
International Symposium on Medical Information and Communication Technology (ISMICT), Mar. 2012
A Low Energy Crystal-Less Double-FSK Transceiver for Wireless Body-Area-Network
IEEE Asian Solid-State Circuit Conference (A-SSCC), Nov. 2011
A 0.24nJ/b Wireless Body-Area-Network Transceiver with Scalable Double-FSK Modulation
Joonsung Bae, Kiseok Song, Hyungwoo Lee, Hyunwoo Cho, Long Yan and Hoi-Jun Yoo
Unified Body Sensor Network Transceiver for Wireless Healthcare System
International Conference on Ubiquitous Healthcare (U-Healthcare), Oct. 2010
A Low Energy Injection-Locked FSK Transceiver with Frequency-to-Amplitude Conversion for Body Sensor Applications
IEEE Symposium on VLSI Circuits (VLSI Circuits), Jun. 2010
A 490uW Fully MICS Compatible FSK Transceiver for Implantable Devices
Joonsung Bae, Namjun Cho, and Hoi-Jun Yoo
IEEE Symposium on VLSI Circuits (VLSI Circuits), Jun. 2009
A 0.6pJ/b 3Gb/s/ch Transceiver in 0.18um CMOS for 10mm On-chip Interconnects
Joonsung Bae, Joo-Young Kim, and Hoi-Jun Yoo
IEEE International Symposium on Circuits and Systems(ISCAS), May 2008
- Energy-efficient Computing Architecture and Algorithm
- Hardware Acceleration of Computer Vision and Machine Learning Algorithms
2013. 8 Ph.D. in EE, Korea Advanced Institute of Science and Technology
2010. 2 M.S. in EE, Korea Advanced Institute of Science and Technology
2008. 2 B.S. in EECS, Seoul National University
2003. 2 Seoul Jung-San High School ( 7th )
A 57 mW 12.5μJ/epoch Embedded Mixed-mode Neuro-Fuzzy Processor for Mobile Real-time Object Recognition
Jinwook Oh, Gyeonghoon Kim, Byeong-Gyu Nam and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuits (JSSC), 2013
Low-Power Real-Time Object Recognition Processor For Mobile Vision Systems
Jinwook Oh, Gyeonghoon Kim, Junyoung Park, Injoon Hong, Seungjin Lee, Joo-Young Kim, Jeong-Ho Woo, and Hoi-Jun Yoo
IEEE Micro (MICRO), 2012
A 320mW 342GOPS Real-Time Dynamic Object Recognition Processor for HD 720p Video Streams
A 1.2-mW Online Learning Mixed-mode Intelligent Inference Engine for Low Power Real-time Object Recognition Processor
Jinwook Oh, Seungjin Lee, and Hoi-Jun Yoo
IEEE Transactions on Very Large Scale Integration Systems (TVLSI), 2012
A Dynamic Resource Controller with Network-on-chip for a 10.5nJ/pixel Object Recognition Processor
Jinwook Oh, Injoon Hong, Gyeonghoon Kim, Junyoung Park, and Hoi-Jun Yoo
IEEE Asian Solid-State Circuits Conference (A-SSCC), Nov. 2012
A Simultaneous Multithreading Heterogeneous Object Recognition Processor with Machine Learning Based Dynamic Resource Management
Jinwook Oh, Gyeonghoon Kim, Junyoung Park, Injoon Hong, Seungjin Lee, Joo-Young Kim, and Hoi-Jun Yoo
IEEE Symposium on Low-Power and High-Speed Chips(COOLCHIPS), Apr. 2012
A 320mW 342GOPS Real-Time Moving Object Recognition Processor for HD 720p Video Streams
Jinwook Oh, Gyeonghoon Kim, Junyoung Park, Injoon Hong, and Hoi-Jun Yoo
IEEE International Solid-State Circuits Conference (ISSCC), Feb. 2012
An Asynchronous Mixed-mode Neuro-Fuzzy Controller for Energy Efficient Machine Intelligence SoC
Jinwook Oh, Jinwook Oh, Gyeonghoon Kim, and Hoi-Jun Yoo
IEEE Asian Solid-State Circuits Conference (A-SSCC), Nov. 2011
A 57mW Embedded Mixed Mode Neuro-Fuzzy Accelerator for Intelligent Multi-core Processor
Jinwook Oh, Jinwook Oh, Junyoung Park, Gyeonghoon Kim, Seungjin Lee and Hoi-Jun Yoo
IEEE International Solid-State Circuits Conference (ISSCC), Feb. 2011
A 1.2mW On-Line Learning Mixed Mode Intelligent Inference Engine for Robust Object Recognition
Jinwook Oh, Seungjin Lee, Minsu Kim, Joonsoo Kwon, Junyoung Park, Joo-Young Kim and Hoi-Jun Yoo
IEEE Symposium on VLSI Circuits (SoVC), Jun. 2010
Student Research Preview Presentation
Jinwook Oh, Seungjin Lee, Minsu Kim, Joonsoo Kwon, Junyoung Park and Hoi-Jun Yoo
IEEE International Solid-State Circuits Conference (Student Research Preview), Feb. 2010
An Area Efficient Shared Synapse Cellular Neural Network for Low Power Image Processing
Jinwook Oh, Seungjin Lee, Joo-Young Kim, and Hoi-Jun Yoo
International Symposium on VLSI Design, Automation and Test(VLSI-DAT), April 2009
- Low-power Processing Unit for Wearable Platform
- Bio-potential Signal Processing
- Planar-Fashionable Circuit Board (P-FCB)
2014. 8 Ph.D. in EE, Korea Advanced Institute of Science and Technology
2011. 2 M.S. in EE, Korea Advanced Institute of Science and Technology
2009. 2 B.S. in EE, Korea Advanced Institute of Science and Technology
A 295.6μW HRV-EEG Processor With Nonlinear Chaotic Analysis During Mental Tasks
Taehwan Roh, Sunjoo Hong, Hyunwoo Cho, and Hoi-Jun Yoo
IEEE Transactions on Biomedical Circuits and Systems (T-BioCAS), Jan. 2015
A Wearable Neuro-feedback System with EEG-based Mental Status Monitoring and Transcranial Electrical Stimulation
Taehwan Roh, Kiseok Song, Hyunwoo Cho, and Hoi-Jun Yoo
IEEE Transactions on Biomedical Circuits and Systems (T-BioCAS), Nov., 2014
Wearable Depression Monitoring System with Heart-rate Variability
Taehwan Roh, Sunjoo Hong and Hoi-Jun Yoo
International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), Aug. 2014
A 2.14mW EEG Neuro-feedback Processor with Transcranial Electrical Stimulation for Mental Health Management
Taehwan Roh, Kiseok Song, Hyunwoo Cho, Dongjoo Shin, Unsoo Ha, Kwonjoon Lee, and Hoi-Jun Yoo
IEEE International Solid-State Circuits Conference (ISSCC), Feb. 2014
Wearable Mental-health Monitoring Platform with Independent Component Analysis and Nonlinear Chaotic Analysis
Taehwan Roh, Kyeongryeol Bong, Sunjoo Hong, Hyunwoo Cho, and Hoi-Jun Yoo
International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), Sep. 2012
A 259.6μW Nonlinear HRV-EEG Chaos Processor with Body Channel Communication Interface for Mental Health Monitoring
A 15μW 16 Channel ExG Processor with Data Transition Memory-Quad Level Vector for Wearable Healthcare Platform
Taehwan Roh, Seulki Lee, and Hoi-Jun Yoo
IEEE Biomedical Circuits and Systems Conference(BioCAS), Nov. 2011
A 10Mb/s 4ns Jitter Direct Conversion Low Modulation Index FSK Demodulator for Low-energy Body Sensor Network
Taehwan Roh, Joonsung Bae, and Hoi-Jun Yoo
IEEE International Symposium on Circuit and Systems(ISCAS), Jun. 2010
- Many-core Architecture
- Intelligent Vision SoC
2005. 2 Daegu Science High School (16th)
Intelligent Network-on-Chip with Online Reinforcement Learning for Portable HD Object Recognition Processor
Junyoung Park, Injoon Hong, Gyeonghoon Kim, Byeong-Gyu Nam, and Hoi-Jun Yoo
IEEE Transactions on Circuits and Systems (TCAS), Feb. 2014
A 92mW Real-Time Traffic Sign Recognition System with Robust Illumination Adaptation and Support Vector Mahcine
Junyoung Park, Joonsoo Kwon, Jinwook Oh, Seungjin Lee, Joo-Young Kim, and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuits(JSSC), Nov. 2012
A High-throughput 16x Super Resolution Processor for Real-Time Object Recognition SoC
Junyoung Park, Byeong-Gyu Nam, and Hoi-Jun Yoo
IEEE European Solid-State Circuits Conference(ESSCIRC), Sep. 2013
A multi-granularity parallelism object recognition processor with content-aware fine-grained task scheduling
Junyoung Park, Injoon Hong, Gyeonghoon Kim, Youchang Kim, Kyuho Lee, Seongwook Park, Kyeongryeol Bong, and Hoi-Jun Yoo
IEEE Symposium on Low-Power and High-Speed Chips(COOLCHIPS), Apr. 2013
A 646 GOPS/W Multi-classifier Many-core Processor with Cortex-like Architecture for Super-Resolution Recognition
IEEE International Solid-State Circuits Conference (ISSCC), Feb. 2013
Online Reinforcement Learning NoC for Portable HD Object Recognition Processor
Junyoung Park, Injoon Hong, Gyeonghoon Kim, Jinwook Oh, Seungjin Lee, and Hoi-Jun Yoo
IEEE Custom Integrated Circuits Conference (CICC), Sep. 2012
A 92mW Real-Time Traffic Sign Recognition System with Robust Light and Dark Adaptation
Junyoung Park, Joonsoo Kwon, Jinwook Oh, Seungjin Lee, and Hoi-Jun Yoo
IEEE Asian Solid-state Circuit Conference(A-SSCC), Nov. 2011
A 30fps Stereo Matching Processor Based on Belief Propagation with Disparity-Parallel PE Array Architecture
Junyoung Park, Seungjin Lee, and Hoi-Jun Yoo
- Closed-Loop Electrical Stimulator IC Design
- Smart Electro-Acupuncture Stimulator IC Design
- Smart Iontophoresis Controller IC Design
- Non-invasive Glucose Monitoring IC Design
- Bio-feedback Electrical Stimulator IC Design
CV
2015. 2 Ph.D. in EE, Korea Advanced Institute of Science and Technology
2009. 8 B.S. in EE, Korea Advanced Institute of Science and Technology
2005. 2 Hansung Science High School
An Impedance and Multi-wavelength Near-infrared Spectroscopy IC for Non-invasive Blood Glucose Estimation
Kiseok Song, Unsoo Ha, Seongwook Park, Joonsung Bae, and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuits (JSSC), Apr. 2015
An 87mA·min Iontophoresis Controller IC with Dual-Mode Impedance Sensor for Patch Type Transdermal Drug Delivery System
Kiseok Song, Unsoo Ha, Jaehyuk Lee, Kyeongryeol Bong, and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuits (JSSC), Jan. 2014
A Sub-10 nA DC-Balanced Adaptive Stimulator IC With Multi-Modal Sensor for Compact Electro-Acupuncture Stimulation
Kiseok Song, Hyungwoo Leee, Sunjoo Hong, Hyunwoo Cho, Unsoo Ha, and Hoi-Jun Yoo
IEEE Transactions on Biomedical Circuits and Systems (T-BioCAS), Jan. 2013
A Wirelessly-Powered Electro-Acupuncture based on Adaptive Pulse Width Mono-Phase Stimulation
Kiseok Song, Long Yan, Seulki Lee,Jerald Yoo, and Hoi-Jun Yoo
IEEE Transactions on Biomedical Circuits and Systems (T-BioCAS), Apr. 2011
Kiseok Song, Unsoo Ha, Seongwook Park, and Hoi-Jun Yoo
IEEE Symposium on VLSI Circuits (S. VLSI), Jun. 2014
Bio-Feedback Iontophoresis Patch for Controllable Transdermal Drug Delivery
Kiseok Song, Unsoo Ha, Jaehyuk Lee, and Hoi-Jun Yoo
IEEE International Conference on Biomedical Circuits and Systems (BioCAS), Oct. 2013
Compact Electro-Acupuncture System for Multi-Modal Feedback Stimulation
Kiseok Song, Hyungwoo Lee, Sunjoo Hong, Hyunwoo Cho, Kwonjoon Lee, and Hoi-Jun Yoo
IEEE International Conference on Biomedical Circuits and Systems (BioCAS), Nov. 2012
A Dynamic Electrode Impedance Matched Acupuncture-Type Diagnosis System with Concurrent Feedback of Physiological Signals
Kiseok Song, Sunjoo Hong, Taehwan Roh, Unsoo Ha, and Hoi-Jun Yoo
The Compact Electro-Acupuncture System for Multi-Modal Feedback Electro-Acupuncture Treatment
Kiseok Song, Hyungwoo Lee, Sunjoo Hong, Hyunwoo Cho, and Hoi-Jun Yoo
A Sub-10nA DC-Balanced Adaptive Stimulator IC with Mulimodal Sensor for Compact Electro-Acupuncture System
A 20μW Contact Impedance Sensor for Wireless Body-Area-Network Transceiver
Kiseok Song, Joonsung Bae, Long Yan, and Hoi-Jun Yoo
IEEE Custom Integrated Circuits Conference(CICC), Sep. 2011
Kiseok Song, Seulki Lee, and Hoi-Jun Yoo
- Electro-Acupucture Stimulator SoC
2012. 9 M.S. in EE, Korea Advanced Institute of Science and Technology
2010. 2 B.S. in EE, Korea Advanced Institute of Science and Technology
2006. 2 Seoul Science High School
A 33mW/node Duty Cycle Controlled HBC Transceiver System for Medical BAN with 64 Sensor Nodes
Hyungwoo Lee, Hyunwoo Cho and Hoi-Jun Yoo
IEEE Custom Integrated Circuits Conference (CICC), Sep. 2014
A 5.5mW IEEE 802.15.6 Wireless Body Area Network Standard Transceiver for Multi-Channel Electro-Acupuncture Application
Hyungwoo Lee, Kwonjoon Lee, Sunjoo Hong, Kiseok Song, Taehwan Roh, Joonsung Bae, and Hoi-Jun Yoo
A 60μW 10Mb/s Fully Digital FSK Demodulator for Power-Jitter Efficient Medical BAN
Hyungwoo Lee, Taehwan Roh, Joonsung Bae, and Hoi-Jun Yoo
IEEE Asia-Pacific Conference on Circuits and Systems (APCCAS), Dec. 2010
A 2.4µW 400nC/s Constant Charge Injector for Wirelessly-Powered Electro-Acupuncture
Hyungwoo Lee, Kiseok Song, Long Yan, and Hoi-Jun Yoo
IEEE International Symposium on Circuit and Systems(ISCAS), May. 2011
- Markerless Augmented Reality
- HMD System Development
2015. 8 Ph.D. in EE, Korea Advanced Institute of Science and Technology
2006. 2 Daegu Science High School
A 1.22 TOPS and 1.52 mW/MHz Augmented Reality Multi-Core Processor with Neural Network NoC for HMD Applications
Gyeonghoon Kim, Kyuho Lee, Youchang Kim, Seongwook Park, Injoon Hong, Kyeongryeol Bong, and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuits (JSSC), Jan, 2015
An Augmented Reality Processor with a Congestion-Aware Network-on-Chip Scheduler
Gyeonghoon Kim, Donghyun Kim, Seongwook Park, Youchang Kim, Kyuho Lee, Injoon Hong, Kyeongryeol Bong, and Hoi-Jun Yoo
IEEE MICRO, Nov.-Dec. 2014
An 86mW 98GOPS ANN-Searching Processor for Full-HD 30fps Video Object Recognition with Zeroless Locality-Sensitive Hashing
Gyeonghoon Kim, Jinwook Oh, Seungjin Lee, and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuits (JSSC), June 2013
A Low-power and Real-time Augmented Reality Processor for the Next Generation Smart Glasses
Gyeonghoon Kim, and Hoi-Jun Yoo
IEEE Symposium on High Performance Chips (HOTCHIPS) , Aug. 2015
K-glass: Real-time markerless augmented reality smart glasses platform
Gyeonghoon Kim, Sungpil Choi, and Hoi-Jun Yoo
IEEE International Conferecne on Industrial Technology (ICIT), Mar. 2015
A Task-level Pipelined Many-SIMD Augmented Reality Processor with Congestion-aware Network-on-Chip Scheduler
Gyeonghoon Kim, Seongwook Park, Kyuho Lee, Youchang Kim, Injoon Hong, Kyeongryeol Bong, Dongjoo Shin, Sungpill Choi, Junyoung Park, and Hoi-Jun Yoo
IEEE Symposium on Low-Power and High-Speed Chips (COOLCHIPS), Apr. 2014
A 1.22TOPS and 1.52mW/MHz Augmented Reality Multi-Core Processor with Neural Network NoC for HMD Applications
Gyeonghoon Kim, Youchang Kim, Kyuho Lee, Seongwook Park, Injoon Hong, Kyeongryeol Bong, Dongjoo Shin, Sungpill Choi, Jinwook Oh, and Hoi-Jun Yoo
A 86mW 98GOPS ANN-Searching Processor for Full-HD 30fps Video Object Recognition with Zeroless Locality-Sensitive Hashing
Gyeonghoon Kim, Jinwook Oh, and Hoi-Jun Yoo
IEEE European Solid-State Circuits Conference (ESSCIRC), Sep. 2012
A Low-energy Hybrid Radix-4/-8 Multiplier for Portable Multimedia Applications
Gyeonghoon Kim, Seungjin Lee, Junyoung Park, and Hoi-Jun Yoo
IEEE International Symposium on Circuit and Systems (ISCAS), May. 2011
- Low Power Sensor for Wearable Healthcare System
- Planar Fashionable Circuit Board (P-FCB)
2012. 8 M.S. in EE, Korea Advanced Institute of Science and Technology
A 10.4mW Electrical Impedance Tomography SoC for Portable Real-Time Lung Ventilation Monitoring System
Sunjoo Hong, Jaehyuk Lee, Joonsung Bae, and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuits (JSSC), Nov, 2015
A 4.9mΩ-Sensitivity Mobile Electrical Impedance Tomography IC for Early Breast-Cancer Detection System
Sunjoo Hong, Kwonjoon Lee, Unsoo Ha, Hyunki Kim, Yongsu Lee, Youchang Kim, and Hoi-Jun Yoo
Wearable Lung-health Monitoring System with Electrical Impedance Tomography
Sunjoo Hong, Jaehyuk Lee, Hoi-Jun Yoo
IEEE Engineering in Medicine and Biology Society(EMBC), Aug. 2015
A 10.4 mW Electrical Impedance Tomography SoC for Portable Real-time Lung Ventilation Monitoring System
Sunjoo Hong, Jaehyuk Lee, Joonsung Bae and Hoi-Jun Yoo
IEEE Asian Solid-State Circuits Conference (A-SSCC), Nov. 2014
A 4.9mΩ Sensitivity Mobile Electrical Impedance Tomography IC for Early Breast Cancer Detection System
EEE International Solid-State Circuits Conference (ISSCC), Feb. 2014
A 46 μW Motion Artifact Reduction Bio-Signal Sensor with ICA Based Adaptive DC Level Control for Sleep Monitoring System
Sunjoo Hong, Seulki Lee, Taehwan Roh, and Hoi-Jun Yoo
A 145uW 8x8 Parallel Multiplier Based on Optimized Bypassing Architecture
Sunjoo Hong, Taehwan Roh, and Hoi-Jun Yoo
A Combined Method to Reduce Motion Artifact and Power Line Interference for Wearable Healthcare Systems
Sunjoo Hong, Kiseok Song, Long Yan, and Hoi-Jun Yoo
- Low Power CMOS Transceiver for Body Area Network
2016. 2 Ph.D. in EE, Korea Advanced Institute of Science and Technology
2010. 8 B.S. in Dept. of Physics, Korea Advanced Institute of Science and Technology
2006. 2 Kyonggi Science High School
A 79 pJ/b 80 Mb/s Full-Duplex Transceiver and a 42.5 µW 100 kb/s Super-Regenerative Transceiver for Body Channel Communication
Hyunwoo Cho, Hyunki Kim, Minseo Kim, Jaeeun Jang, Yongsu Lee, Kyuho Jason Lee, Joonsung Bae, and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuit (JSSC), Jan 2016
A 5.2 mW IEEE 802.15.6 HBC Standard Compatible Transceiver With Power Efficient Delay-Locked-Loop Based BPSK Demodulator
Hyunwoo Cho, Hyungwoo Lee, Joonsung Bae, and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuit (JSSC), Nov 2015
A 37.5µW Body Channel Communication Wake-up Receiver with Injection-locking Ring Oscillator for Wireless Body Area Network
Hyunwoo Cho, Joonsung Bae, and Hoi-Jun Yoo
IEEE Transactions on Circuits and Systems (TCAS), May 2013
A 79pJ/b 80Mb/s Full Duplexer Transceiver and a 42.5uW 100kb/s Super-regenerative Transceiver for Body Channel Communication
Hyunwoo Cho, Hyunki Kim, Minseo Kim, Jaeeun Jang, and Hoi-Jun Yoo
IEEE International Microwave Workshop Series on RF and Wireless Technologies for Biomedical and Healthcare Applications(IMWS-Bio), Sep. 2015
Hyunwoo Cho, Hyunki Kim, Minseo Kim, Jaeeun Jang, Joonsung Bae, and Hoi-Jun Yoo
IEEE International Solid-State Circuits Conference (ISSCC), Feb. 2015
A 5.2mW IEEE 802.15.6 HBC Standard Compatible Transceiver with Power Efficient Delay-Locked-Loop Based BPSK Demodulator
1.2Gb/s, 3.9pJ/b Mono-Phase Pules Modulation Inductive Coupling Transceiver for mm-range Board-to-Board Communication
Hyunwoo Cho, Unsoo Ha, Taehwan Roh, Dongchurl Kim, Jaehyuck Lee, Yunje Oh, and Hoi-Jun Yoo
A 39μW Body Channel Communication Wake-up Receiver with Injection-locking Ring-oscillator for Wireless Body Area Network
Hyunwoo Cho, and Hoi-Jun Yoo
A 5.3µW Contact Monitoring Sensor with BCC Electrode and MICS Antenna for Energy Efficient Unified WABN Transceiver
Hyunwoo Cho, Joonsung Base, Kiseok Song and Hoi-Jun Yoo
IEEE International Midwest Symposium on Circuits and Systems(MWSCAS), Aug. 2011
- Network-on-Chip Based SoC Design
- Computer Vision Hardware Architecture
2016. 8 Ph.D. in EE, Korea Advanced Institute of Science and Technology
2013. 2 M.S. in EE, Korea Advanced Institute of Science and Technology
2010. 8 B.S. in EE, Korea Advanced Institute of Science and Technology
- International Jornal Papers
A 2.71 nJ/Pixel Gaze-Activated Object Recognition System for Low-Power Mobile Smart Glasses
Injoon Hong, Kyeongryeol Bong, Dongjoo Shin, Seongwook Park, Kyuho Jason Lee, Youchang Kim, and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuits (JSSC), Jan, 2016
A 27 mW Reconfigurable Marker-Less Logarithmic Camera Pose Estimation Engine for Mobile Augmented Reality Processor
Injoon Hong, Gyeonghoon Kim, Youchang Kim, Band Hoi-Jun Yoo
A 1.9nJ/Pixel Deep Neural Network Processor for High Speed Visual Attention in a Mobile Vision Recognition SoC
Injoon Hong, Seongwook Park, Junyoung Park, and Hoi-Jun Yoo
IEEE Asian Solid-State Circuits Conference (A-SSCC), Nov. 2015
A Keypoint-level Parallel Pipelined Object Recognition Processor with Gaze Activation Image Sensor for Mobile Sensor Glasses System
Injoon Hong, Dongjoo Shin, Youchang Kim, Kyeongryeol Bong, Seongwook Park, Kyuho Lee, and Hoi-Jun Yoo
IEEE Symposium on Low-Power and High-Speed Chips (COOLCHIPS), Apr. 2015
A 2.71nJ/Pixel 3D-Stacked Gaze-Activated Object Recognition System for Low-power Mobile HMD Applications
Injoon Hong, Kyeongryeol Bong, Dongjoo Shin, Seongwook Park, Kyuho Lee, Youchang Kim, and Hoi-Jun Yoo
A 27mW Reconfigurable Marker-Less Logarithmic Camera Pose Estimation Engine for Mobile Augmented Reality Processor
Injoon Hong, Gyeonghoon Kim, Youchang Kim, Donghyun Kim, Byeong-Gyu Nam, and Hoi-Jun Yoo
A 125,582 vector/s Throughput and 95.1% Accuracy ANN Searching Processor with Neuro-Fuzzy Vision Cache for Real-time Object Recognition
Injoon Hong, Junyoung Park, Gyeonghoon Kim, Jinwook Oh and Hoi-Jun Yoo
IEEE Symposium on VLSI Circuits (S.VLSI), June 2013
1.15mW Mixed-mode Neuro-Fuzzy Accelerator for Keypoint Localization in Image Processing
Injoon Hong, Jinwook Oh, and Hoi-Jun Yoo
IEEE International Midwest Symposium on Circuits and Systems (MWSCAS), Aug. 2011
- Sensor Front-End Design for Multimodal Healthcare System
2017. 8 Ph.D. in EE, Korea Advanced Institute of Science and Technology
2012. 2 B.S. in EE, Korea Advanced Institute of Science and Technology
2007. 2 Masan Jeil High School
An EEG-NIRS Multimodal SoC for Accurate Anesthesia Depth Monitoring
Unsoo Ha, Jaehyuk Lee, Minseo Kim, Taehwan Roh, Sangsik Choi, and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuits (JSSC), Mar. 2018
A Wearable EEG-HEG-HRV Multimodal System With Simultaneous Monitoring of tES for Mental Health Management
Unsoo Ha, Yongsu Lee, Hyunki Kim, Taehwan Roh, Joongsung Bae, Changhyeon Kim, and Hoi-Jun Yoo
IEEE Transactions on Biomedical Circuits and Systems (TBioCAS), Dec. 2015
A Multimodal Headpatch System for Patient Brain Monitoring in OR and PACU
Unsoo Ha, Hoi-Jun Yoo and Joonsung Bae
IEEE International Conference on Biomedical Circuits and Systems (BioCAS), Oct. 2017
A 25.2mW EEG-NIRS Multimodal SoC for Accurate Anesthesia Depth Monitoring
Unsoo Ha, Jaehyuk Lee, Jihee Lee, Kwantae Kim, Minseo Kim, Taehwan Roh, Sangsik Choi, and Hoi-Jun Yoo
IEEE International Solid-State Circuits Conference (ISSCC), Feb. 2017
An EEG-NIRS Ear-Module SoC for Wearable Drowsiness Monitoring System
Unsoo Ha and Hoi-Jun Yoo
IEEE Asian Solid-State Circuits Conference (A-SSCC), Nov. 2017
A Multimodal Drowsiness Monitoring Ear-Module System with Closed-Loop Real-Time Alarm
IEEE Biomedical Circuits and Systems Conference (BioCAS), Jan. 2017
A Multimodal Stress Monitoring System with Canonical Correlation Analysis
Unsoo Ha, Changhyeon Kim, Yongsu Lee, Hyunki Lee, Taehwan Roh and Hoi-Jun Yoo
IEEE Engineering in Medicine and Biology Society (EMBC), Aug. 2015
A Wearable EEG-HEG-HRV Multimodal System with Real-time Transcranial Electrical Stimulation Monitoring for Mental Health Management
Unsoo Ha, Yongsu Lee, Hyunki Kim, Taehwan Roh, Joonsung Bae, Changhyeon Kim, and Hoi-Jun Yoo
A 0.7pJ/bit 2Gbps Self-synchronous Serial Link Receiver Using Gated-ring Oscillator for Inductive Coupling Communication
Unsoo Ha, Hyunwoo Cho, and Hoi-Jun Yoo
IEEE International Symposium on Circuit and Systems (ISCAS), May 2013
- Multi-core and Network-on-Chip Architecture
- Machine Learning and Artificial Intelligence
2014. 2 M.S. in EE, Korea Advanced Institute of Science and Technology
2008. 2 Seoul Science High School
A 0.55 V 1.1 mW Artificial Intelligence Processor with On-chip PVT Compensation for Autonomous Mobile Robots
Youchang Kim, Dongjoo Shin, Jinsu Lee, Yongsu Lee, and Hoi-Jun Yoo
IEEE Transactions on Circuits and Systems I: Regular Papers, Accepted
BRAIN: A Low-Power Deep Search Engine for Autonomous Robots
Youchang Kim, Dongjoo Shin, Jinsu Lee, and Hoi-Jun Yoo
IEEE MICRO, Sep.-Oct. 2017
A 0.5 V 54 μW Ultra-Low-Power Object Matching Processor for Micro Air Vehicle Navigation
Youchang Kim, Injoon Hong, Junyoung Park, and Hoi-Jun Yoo
IEEE Transactions on Circuits and Systems I: Regular Papers, Mar. 2016
Low-Power Real-Time Intelligent SoCs for Smart Machines
Youchang Kim, Injoon Hong, Seongwook Park, and Hoi-Jun Yoo
IEEE International System-on-Chip Conference (SOCC), Sep. 2016
A 1.1mW 32-thread Artificial Intelligence Processor with 3-level Transposition Table and On-chip PVT Compensation for Autonomous Mobile Robots
IEEE Symposium on Low-Power and High-Speed Chips (COOL Chips XIX), Apr, 2016
A 0.55V 1.1mW Artificial-Intelligence Processsor with PVT Compensation for Micro Robots
IEEE International Solid-State Circuits Conference (ISSCC), Feb. 2016
A 0.5V 54μW Ultra-Low-Power Recognition Processor with 93.5% Accuracy Geometric Vocabulary Tree and 47.5% Database Compression
Youchang Kim, Injoon Hong, and Hoi-Jun Yoo
A 4.9 mW Neural Network Task Scheduler for Congestion-Minimized Network-on-Chip in Multi-Core Systems
Youchang Kim, Gyeonghoon Kim, Injoon Hong, Donghyun Kim, and Hoi-Jun Yoo
A 34.1fps Scale-space Processor with Two-dimensional Cache for Real-time Object Recognition
Youchang Kim, Junyoung Park, and Hoi-Jun Yoo
https://sites.google.com/view/kyuhojsnlee
- Machine Learning & Intelligent Vision SoC Design for ADAS
- Mixed-Mode Heterogeneous Multi-Core Architecture
- High-Throughput Network-on-Chip Architecture
2008. 2 Hansung Science High School
The Development of Silicon for AI: Different Design Approaches
Kyuho Jason Lee, Jinmook Lee, Sungpill Choi, and Hoi-Jun Yoo
IEEE Transactions on Circuits and Systems I (TCAS-1), Early Access. 2020
A 502-GOPS and 0.984-mW Dual-Mode Intelligent ADAS SoC With Real-Time Semiglobal Matching and Intention Prediction for Smart Automotive Black Box System
Kyuho Lee, Kyeongryeol Bong, Changhyeon Kim, Jaeeun Jang, Kyoung-Rog Lee, Jihee Lee, Gyeonghoon Kim, and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuits (JSSC), Jan. 2017
A Vocabulary Forest Object Matching Processor with 2.07M-vector/s Throughput and 13.3nJ/vector Per-vector Energy for Full-HD 60fps Video Object Recognition
Kyuho Lee, Gyeonghoon Kim, Junyoung Park, and Hoi-Jun Yoo
A Real-Time and Energy-Efficient Embedded System for Intelligent ADAS with RNN-Based Deep Risk Prediction using Stereo Camera
Kyuho Lee, Gyeongmin Choe, Kyeongryeol Bong, Changhyeon Kim, In So Kweon, and Hoi-Jun Yoo
International Conference on Computer Vision Systems (ICVS), Jul. 2017
An Intelligent ADAS Processor with Real-Time Semi-Global Matching and Intention Prediction for 720p Stereo Vision
Kyuho Lee, Kyeongryeol Bong, Changhyeon Kim, and Hoi-Jun Yoo
IEEE Hot Chips Symposium (HCS), Aug. 2016
An Energy-Efficient Parallel Multi-Core ADAS Processor with Robust Visual Attention and Workload-Prediction DVFS for Real-Time HD Stereo Stream
Kyuho Lee, Kyeongryeol Bong, Changyeon Kim, Junyoung Park and Hoi-Jun Yoo
A 502GOPS and 0.984mW Dual-Mode ADAS SoC with RNN-FIS Engine for Intention Prediction in Automotive Black-Box System
Kyuho Lee, Kyeongryeol Bong, Changhyeon Kim, Jaeeun Jang, Hyunki Kim, Jihee Lee, Kyoungrog Lee, Gyeonghoon Kim, and Hoi-Jun Yoo
Intelligent Task Scheduler with High Throughput NoC for Real-Time Mobile Object Recognition SoC
Kyuho Lee, Junyoung Park, Injoon Hong and Hoi-Jun Yoo
IEEE European Solid-State Circuits Conference (ESSCIRC), Sep. 2015
A Vocabulary Forest-based Object Matching Processor with 2.07M-vec/s Throughput and 13.3nJ/vector Energy in Full-HD Resolution
Kyuho Lee, Gyeonghoon Kim, Junyoung Park and Hoi-Jun Yoo
A Multi-Modal and Tunable Radial-Basis-Funtion Circuit with Supply and Temperature Compensation
Kyuho Lee, Junyoung Park, Gyeonghoon Kim, Injoon Hong, and Hoi-Jun Yoo
- Cognitive Computing
2018. 2 Ph.D. in EE, Korea Advanced Institute of Science and Technology
An Energy-Efficient Embedded Deep Neural Network Processor for High Speed Visual Attention in Mobile Vision Recognition SoC
Seongwook Park, Injoon Hong, Junyoung Park, and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuits, Accepted
An Energy-Efficient and Scalable Deep Learning/Interference Processor With Tetra-Parallel MIMD Architecture for Big Data Application
Seongwook Park, Junyoung Park, Kyeongryeol Bong, Dongjoo Shin, Jinmook Lee, Sungpill Choi, and Hoi-Jun Yoo
A 126.1mW Real-Time Natural UI/UX Processor with Embedded Deep-Learning Core for Low-Power Smart Glasses
Seongwook Park, Sungpill Choi, Jinmook Lee, Minseo Kim, Junyoung Park, and Hoi-Jun Yoo
A 1.93 TOPS/W Scalable Deep Learning/Inference Processor with Tetra-parallel MIMD Architecture for Big Data Applications
Seongwook Park, Kyeongryeol Bong, Dongjoo Shin, Jinmook Lee, Sungpill Choi, and Hoi-Jun Yoo
A 1.5nJ/pixel Super-Resolution Enhanced FAST Corner Detection Processor for High Accuracy AR
Seongwook Park, Gyeonghoon Kim, Junyoung Park, and Hoi-Jun Yoo
IEEE European Solid-State Circuits Conference (ESSCIRC), Sep. 2014
A 32.8mW 60fps Cortical Vision Processor for Spatio-Temporal Action Recognition
Seongwook Park, Junyoung Park, Injoon Hong, and Hoi-Jun Yoo
- Mixed-mode Classifier
2018. 8 Ph.D. in EE, Korea Advanced Institute of Science and Technology
2015. 2 M.S. in EE, Korea Advanced Institute of Science and Technology
2012. 8 B.S. in EE, Korea Advanced Institute of Science and Technology
A Low-Power Convolutional Neural Network Face Recognition Processor and a CIS Integrated With Always-on Face Detector
Kyeongryeol Bong, Sungpill Choi, Changhyeon Kim, Donghyeon Han, and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuits (JSSC), Dec. 2017
Low-Power Convolutional Neural Network Processor for a Face-Recognition System
Kyeongryeol Bong, Sungpill Choi, Changhyeon Kim, and Hoi-Jun Yoo
IEEE Micro, Nov. 2017
A 0.5° Error 10 mW CMOS Image Sensor-Based Gaze Estimation Processor
Kyeongryeol Bong, Injoon Hong, Gyeonghoon Kim, and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuits (JSSC), Apr. 2016
A 590MDE/s Semi-Global Matching Processor with Lossless Data Compression
Kyeongryeol Bong, Kyuho Lee, and Hoi-Jun Yoo
IEEE System-on-Chip Conference (SOCC), Sep. 2017
A 0.62mW Ultra-low-power Convolutional Neural Network Face Recognition Processor and a CIS Integrated with Always-on Haar-like Face Detector
Kyeongryeol Bong, Changhyeon Kim, Sungpil Choi, and Hoi-Jun Yoo
A 0.5-degree Error 10mW CMOS Image Sensor-based Gaze Estimation Processor with Logarithmic Processing
Kyeongryeol Bong, Injoon Hong, Gyeonghoon Kim and Hoi-Jun Yoo
IEEE Symposia on VLSI Technology and Circuits (SOVC), Jun. 2015
Energy-efficient Mixed-mode Support Vector Machine Processor with Analog Gaussian Kernel
Kyeongryeol Bong, Gyeonghoon Kim and Hoi-Jun Yoo
An 1.61mW Mixed-Signal Column Processor for Brisk Feature Extraction in CMOS Image Sensor
Kyeongryeol Bong, Gyeonghoon Kim, Injoon Hong, and Hoi-jun Yoo
IEEE International Symposium on Circuit and Systems (ISCAS), June 2014
- Multi-core Architecture
- Network-on-Chip
- Machine learning & Vision SoC
2013. 2 B.S. in EE, Korea Advanced Institute of Science and Technology
2008. 2 Kyonggi Science High School
DNPU: An Energy-Efficient Deep Learning Processor with Heterogeneous Multi-Core Architecture
Dongjoo Shin, Jinmook Lee, Jinsu Lee, Juhyoung Lee, and Hoi-Jun Yoo
IEEE Micro, 2018, Sep. 2018
A 1.41mW On-chip/Off-chip Hybrid Transposition Table for Low-power Robust Deep Tree Search in Artifiicial Intelligence SoCs
Dongjoo Shin, Youchang Kim, and Hoi-Jun Yoo
DNPU: An Energy-Efficient Deep Neural Network Processor with On-Chip Stereo Matching
Dongjoo Shin and Hoi-Jun Yoo
IEEE Hot Chips Symposium (HCS), Aug. 2017
An Energy-Efficient Deep Learning Processor with Heterogeneous Multi-Core Architecture for Convolutional Neural Networks and Recurrent Neural Networks
IEEE Symposium on Low-Power and High-Speed Chips (COOL Chips), Apr. 2017
DNPU: An 8.1 TOPS/W Reconfigurable CNN-RNN Processor for General-Purpose Deep Neural Networks
Dongjoo Shin, Jinmook Lee, Jinsu Lee, and Hoi-Jun Yoo
A 33 nJ/vector Descriptor Generation Processor for Low-power Object Recognition
Dongjoo Shin, Injoon Hong, Gyeonghoon Kim, and Hoi-Jun Yoo
IEEE Symposia on VLSI Technlogy and Circuits (SoVC), Jun. 2015
An 1.92mW Feature Reuse Engine based on Inter-frame Similarity for Low-power Object Recognition in Video Frames
Dongjoo Shin, Injoon Hong, and Hoi-Jun Yoo
- Lower-power Wearable Healthcare System
2019. 2 Ph.D. in EE, Korea Advanced Institute of Science and Technology
2009. 2 Kyonggi Science High School
Sticker-Type Hybrid Photoplethysmogram Monitoring System Integrating CMOS IC With Organic Optical Sensors
Yongsu Lee, Hyeonwoo Lee, Jaeeun Jang, Jihee Lee, Minseo Kim, Jaehyuk Lee, Hyunki Kim, Seunghyup Yoo, and Hoi-Jun Yoo
IEEE Journal on Emerging and Selected Topics in Circuits and Systems (JETCAS)
A 274μW Clock Synchronized Wireless Body Area Network IC with Super-Regenerative RSSI for Biomedical Ad-Hoc Network System
Yongsu Lee and Hoi-jun Yoo
The 39th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), Jul. 2017 (Accepted)
Sticker-type ECG/PPG Concurrent Monitoring System Hybrid Integration of CMOS SoC and Organic Sensor Device
Yongsu Lee, Hyeonwoo Lee, Seunghyup Yoo, and Hoi-jun Yoo
The 38th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), Aug. 2016
A 141μW Sensor SoC on OLED/OPD Substrate for SpO2/ExG Monitoring Sticker
Yongsu Lee, Hyunwoo Lee, Jaeeun Jang, Jihee Lee, Minseo Kim, Jaehyuk Lee, Hyunki Kim, Kyoungrog Lee, Kwantae Kim, Hyunwoo Cho, Seunghyup Yoo, and Hoi-jun Yoo
A 4.84mW 30fps Dual Frequency Division Multiplexing Electrical Impedance Tomography SoC for Lung Ventilation Monitoring System
Yongsu Lee, Kiseok Song, and Hoi-jun Yoo
IEEE Symposia on VLSI Technology and Circuits (SoVC), Jun. 2015
3.8 mW Electrocardiogram (ECG) Filtered Electrical Impedance Tomography IC using I/Q Homodyne Architecture for Breast Cancer Diagnosis
Yongsu Lee, Unsoo Ha, Kiseok Song, and Hoi-jun Yoo
2019. 2 Ph.D. in EE, Korea Advanced Institute of Science and Technology (KAIST)
2016. 2 M.S. in EE, Korea Advanced Institute of Science and Technology (KAIST)
2014. 2 B.S. in Semiconductor System Engineering, Sung Kyun Kwan University
2008. 2 Gyungnam Science High School
A 1.4-mΩ-Sensitivity 94-dB Dynamic-Range Electrical Impedance Tomography SoC and 48-Channel Hub-SoC for 3-D Lung Ventilation Monitoring System
Minseo Kim, Hyunki Kim, Jaeeun Jang, Jihee Lee, Jaehyuk Lee, Jiwon Lee, Kyoungrog Lee, Kwantae Kim, Yongsu Lee, Kyuho Jason Lee, and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuits (JSSC)
A 82nW Chaotic-Map True Random Number Generator Based on a Sub-Ranging SAR ADC
Minseo Kim, Unsoo Ha, Kyuho Jason Lee, Yongsu Lee, and Hoi-Jun Yoo
Wearable 3D Lung Ventilation Monitoring System with Multi Frequency Electrical Impedance Tomography
Minseo Kim, Joonsung Bae, Hoi-Jun Yoo
A 1.4 mΩ Sensitivity 94-dB Dynamic Range Electrical Impedance Tomography SoC and 48-Channel Hub SoC for 3D Lung Ventilation Monitoring System
Minseo Kim, Hyunki Kim, Jaeeun Jang, Jihee Lee, Jaehyuk Lee, Jiwon Lee, Kyoungrog Lee, Kwantae Kim, Yongsu Lee, and Hoi-Jun Yoo
A 82nW Chaotic-Map True Random Number Generator Based on Sub-Ranging SAR ADC
Minseo Kim, Unsoo Ha, Yongsu Lee, Kyuho Lee and Hoi-Jun Yoo
European Solid-State Circuits Conference (ESSCIRC), Oct. 2016
A 24-mW 28-Gb/s Wireline Receiver with Low-frequency Equalizing CTLE and 2-tap Speculative DFE
Minseo Kim, Joonsung Bae, Unsoo Ha, and Hoi-Jun Yoo
IEEE International Symposium on Circuit and Systems (ISCAS), May. 2015
- Multicore Architecture Processor
- Image Processing Hardware Accelerator
2019. 8 Ph.D. in EE, Korea Advanced Institute of Science and Technology (KAIST)
2015. 8 M.S. in EE, Korea Advanced Institute of Science and Technology (KAIST)
2013. 8 B.S. in EE, Korea Advanced Institute of Science and Technology (KAIST)
2010. 2 Korea Science Academy
2014. 2 B.S. in EE, Korea Advanced Institute of Science and Technology (KAIST)
2009. 2 Gyungnam Science High School
Wireless Body-Area-Network Transceiver and Low-Power Receiver With High Application Expandability
Jaeeun Jang, Jihee Lee, Hyunwoo Cho, Jaehyuk Lee, and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuits (JSSC), Oct. 2020
A Four-Camera VGA-Resolution Capsule Endoscope System With 80-Mb/s Body Channel Communication Transceiver and Sub-Centimeter Range Capsule Localization
Jaeeun Jang, Jihee Lee, Kyoung-Rog Lee, Jiwon Lee, Minseo Kim, Yongsu Lee, Joonsung Bae, and Hoi-Jun Yoo
IEEE Journal of Solid-State Circuits (JSSC), Oct. 2018
A 540-μW Duty Controlled RSSI with Current Reusing Technique for Human Body Communication
Jaeeun Jang, Yongsu Lee, Hyunwoo Cho, and Hoi-Jun Yoo
IEEE Transactions on Biomedical Circuits and Systems (TBioCAS), Aug. 2016
A Capsule Endoscope System for Wide Visualization Field and Location Tracking
IEEE Biomedical Circuits and Systems (BIOCAS), Oct. 2018
4-Camera VGA Resolution Capsule Endoscope with 80Mb/s Body Channel Communication Transceiver and Sub-cm Range Capsule Localization
International Solid-State Circuits Conference (ISSCC), Feb. 2018
A 2.79-mW 0.5%-THD CMOS Current Driver IC for Portable Electrical Impedance Tomography System
Jaeeun Jang, Minseo Kim, Joonsung Bae, and Hoi-Jun Yoo
Asian Solid-State Circuits Conference (ASSCC), Nov. 2017
A 0.5mW Duty Controlled RSSI with Current Reusing Technique for Human Body Communication
International Symposium on Circuit and Systems (ISCAS), May. 2015
2020. 2 Ph.D. in EE, Korea Advanced Institute of Science and Technology
2001. 8 M.S. in EE, Korea Advanced Institute of Science and Technology
1998. 8 B.S. in Dept. of Physics, Korea Advanced Institute of Science and Technology
80 μW/MHz, 850 MHz Fault Tolerant Processor with Fault Monitor Systems
Jinho Han, Youngsu Kwon, Kyeongsun Shin, and Hoi-jun Yoo
Journal of Semiconductor Technology and Science (JSTS), Vol.17, No.5, Oct. 2017
A Fault Tolerant Cache System of Automotive Vision Processor Complying With ISO26262
Jinho Han, Youngsu Kwon, Kyeongjin Byun, and Hoi-jun Yoo
IEEE Transactions on Circuits and Systems II: Express Briefs, Oct. 2016
A 1GHz fault tolerant processor with dynamic lockstep and self-recovering cache for ADAS SoC complying with ISO26262 in automotive electronics Jin-Ho Han, Youngsu Kwon, Yong Cheol Peter Cho, and Hoi-Jun Yoo
IEEE Asian Solid-State Circuits Conference(ASSCC), Nov. 2017
A Fault Tolerant Cache of Automotive Vision Processor Complying with ISO26262 Jin-Ho Han, Youngsu Kwon, Kyeonjin Byun, and Hoi-jun Yoo
IEEE International Symposium on Circuits and Systems(ISCAS), May. 2016
A 40MHZ dedicated hardware H.264/AVC video encoder with the reducing memory access scheme Sukho Lee, SeongMo Park, Jinho Han, Nakwoong Eum, Jongwon Park
IEEE International Symposium on Consumer Electronics(ISCE), May. 2008
Experience with Retargetable Compilers for a X32V ASIP
Young Soo Kim, Jinho Han, Younghwan Bae, and Hanjin Cho
In Proceedings of Global Signal Processing (GSPx), Sep. 2004.
One chip-low power digital-TCXO with sub-ppm accuracy Se-Joong Lee, Jin-Ho Han, Seung-Ho Hank, Joe-Ho Lee, Jung-Su Kim, Min-Kyu Je, and Hoi-Jun Yoo
IEEE International Symposium on Circuits and Systems(ISCAS), May. 2000
- NPU hardware architecture / simulator
- NPU hardware compiler
2020. 2 Ph.D. in EE, Korea Advanced Institute of Science and Technology (KAIST)
2014. 2 B.S. in EE, Hanyang University
2010. 2 Seoryeong High School
UNPU: An Energy-Efficient Deep Neural Network Accelerator with Fully-Variable Weight Bit Precision
Jinmook Lee, Changhyeon Kim, Sanghoon Kang, Dongjoo Shin, Sangyeob Kim and Hoi-Jun Yoo
An Energy-efficient Speech Extraction Processor for Robust User Speech Recognition in Mobile Head-mounted Display Systems
Jinmook Lee, Seongwook Park, Injoon Hong, and Hoi-Jun Yoo
IEEE Transactions on Circuits and Systems II: Express Briefs, May. 2016
An Energy-Efficient Unified Deep Neural Network Accelerator with Fully-Variable Weight Precision for Mobile Deep Learning Applications
Jinmook Lee, Changhyeon Kim, Sanghoon Kang, Dongjoo Shin, Sangyeob Kim, Hoi-Jun Yoo
Hot Chips: Symposium on High Performance Chips, Aug. 2018
A 50.6TOPS/W Energy-Efficient Unified Deep Neural-Network Accelerator with 1-to-16b Fully Variable Bit Precision
A 21mW Low-power Recurrent Neural Network Accelerator with Quantization Tables for Embedded Deep Learning Applications
Jinmook Lee, Dongjoo Shin, and Hoi-Jun Yoo
An 8.3mW 1.6Msamples/s Multi-modal Event-driven Speech Enhancement Processor for Robust Speech Recognition in Smart Glasses
A 3.13nJ/sample Energy-efficient Speech Extraction Processor for Robust Speech Recognition in Mobile Head-mounted Display Systems
- Biomedical Sensor Front-end
2020. 8 Ph.D. Student in EE, Korea ADvanced Institute of Science and Technology (KAIST)
2017. 2 M.S. in EE, Korea ADvanced Institute of Science and Technology (KAIST)
2015. 2 B.S. in EE, Korea ADvanced Institute of Science and Technology (KAIST)
2011. 2 Hansung Science High School
A 36-Channel Auto-Calibrated Front-End ASIC for a pMUT-Based Miniaturized 3-D Ultrasound System
Jihee Lee, Kyoung-Rog Lee, Benjamin E. Eovino, Jeong Hoan Park, Luna Yue Liang, Liwei Lin, Hoi-Jun Yoo, and Jerald Yoo
IEEE Journal of Solid-State Circuits (JSSC), Early Access. 2021
A 48 μW, 8.88 mW/W Batteryless Wirelessly Power Transferred Body Channel Communication Identification System
Jihee Lee, Yongsu Lee, Hyunwoo Cho, and Hoi-Jun Yoo
Journal of Semiconductor Science and Technology (JSTS)
A Battery-Less 31 mW HBC Receiver with RF Energy Harvester for Implantable Devices
Jihee Lee, Jaeeun Jang, Jaehyuk Lee, and Hoi-Jun Yoo
Asian Solid-State Circuits Conference (ASSCC), Oct. 2019
A 5.37mW/Channel Pitch-Matched Ultrasound ASIC with Dynamic-Bit-Shared SAR ADC and 13.2V Charge-Recycling TX in Standard CMOS for Intracardiac Echocardiography
Jihee Lee, Kyoung-Rog Lee,Benjamin E. Eovino, Jeonghoan Park, Liwei Lin, Hoi-Jun Yoo, and Jerald Yoo
International Solid-State Circuits Conference (ISSCC), Feb. 2019
A 48 μW, 8.88×10-3 W/W Batteryless Energy Harvesting BCC Identification System
IEEE International Symposium on Circuit and Systems (ISCAS), May 2016
Address#1233, School of Electrical Engineering, KAIST, 291 Daehak-ro (373-1 Guseong-dong), Yuseong-gu, Daejeon 34141, Republic of Korea Tel +82-42-350-8068 Fax +82-42-350-3410E-mail sslmaster@kaist.ac.kr·© SSL. All Rights Reserved.·Design by NSTAR